Tuesday, December 21, 2010

WaferNEWS Watch: China hitting the dimmer on LEDs?

Veeco's stock was nudging $50/share less than two weeks ago, but in the last five days has lost 19% of its value. Aixtron's lost about 9% during the same period. What's going on? Despite their recent assurances to the contrary, Citi's Tim Arcuri is increasingly pessimistic about a possible change in China's MOCVD subsidies that could send tool orders plummeting in 2011. Officials are becoming "very concerned" about misuse of the funds offered in the program, he said, citing no "smoking gun" but instead "a mosaic of discussions with China LED makers, policy makers, and other sources" within China. TheStreet cites a Chinese newspaper quoting a Yangzhou official that the subsidies will be cut off July 1, and that some companies have applied for the subsidy "that didn't even know the first thing about LED production."

Still, other analysts cited by TheStreet weight that much of this chatter is at the local level and may be part of metropolitan competition. Avian Securities' Andy Abrams points out that to reach its stated goal of 33% share of LED production by 2012, China will need another 500+ tools, on top of the 370 expected installations by early 2011. Chinese LED companies serious about being real players won't cancel orders, he surmises, they'll just find other ways to fund them. The real question, he says, is not whether China will dump its subsidy program -- but whether and how fast the market improves for LED backlighting.


Still bullish on semi capital intensity

Credit Suisse's Satya Kumar raised his semiconductor capex estimates for 2010, and all the way through 2012, believing that NAND, foundry, and Intel spending will more than offset a decline in DRAM capex. He sees 2011 capex now at nearly 9%, vs. flattish, and 2012 capex at $35B, vs. $30B-$32B previously. Shipments should plateau at ~10% levels through 2Q11, which is better than his prior view of this "mid-cycle pause."

Why more bullish? He reiterates his argument that semiconductor capital intensity is only increasing -- from ~5.2% in 2009 to ~10.5% in 2011-2012 (prior peaks: 12.6% in 2007, 15.8% in 2000) -- due to a pushout of 450mm wafer-size transition, accelerating product cycles and penetration, increasing cost/wafer starts per month for new logic and foundry capacity, and a transition in memory spending from shrink to capacity adds.

And industry companies seem to be rallying to the bullish flag. ASML's big bookings hike, a company with long product lead times, "impl[ies] others will see upside eventually," Kumar writes. At its midquarter update NVLS raised its 4Q10 bookings guidance, and VSEA, LRCX, and CYMI "have sounded incrementally more confident," he adds.

Tuesday, December 14, 2010

WaferNEWS Watch: Recap of Barclays' conf: Semicap outlook brightening for 2011

On the heels of Credit Suisse's gathering of semicap presenters, Barclays had its own Capital Tech Conference, where many of the same themes were reiterated. Key takeaways, as reported by CJ Muse:

  • Orders for 4Q are looking flat to up 5%, with no pushouts ("some have reversed themselves," he writes).

  • 2011 capex now looks "closer to flat" with 2009, vs. expectations of around -10%. "Most equipment vendors" are now on this bandwagon, Muse says. Lithography is more bullish than that (see the next point), while SoC test should see a trough in demand in 1Q11 (see the point after). Other areas to look at for 2011: foundry and NAND should spend strongly (main beneficiaries: LRCX and VSEA, also KLAC).

  • There's a gold rush in litho systems, Muse notes, as Tier 1 firms (e.g. Samsung, TSMC) race to add capacity by 1H11 and Tier 2 suppliers scramble to get their hands on immersion tools so they're not left in the dust. "Reluctance by nearly all semi players to push out immersion tools provides strong visibility to above-industry growth in 2011, and early adoption of premium-priced EUV systems contributes to 2012 strength," Muse writes. Overall immersion shipments could exceed 120 units in 2011, he says, with ASML likely further widening the gap between it and Nikon. And Cymer's looking at Y/Y unit growth in 2011 for both immersion and KrF light sources.

  • More specific frontend semicap insights: VSEA sees 1H11 tracking similar to 2H10 and NAND boosting 2H11 prospects, while its solar unit is on pace to exceed sales targets for both 2011 ($25M-$35M) and 2012 (>$100M); AMAT is tracking 17 fab projects in 2011; KLAC sees foundry spending and NAND spending in 2011; MKSI is leaning toward a flattish environment (likely driven by "bulky solar order[s]," Muse notes);

  • The market for SoC test tools, currently going through a 1-2 quarter digestion period, should hit a trough in 1Q11 "with a gradual recovery thereafter," Muse writes. (Huse also updates his take on the Verigy-LTX/Credence-Advantest M&A soap opera currently playing out -- suggesting that Advantest's offer really is the better one now, citing fair valuation ($11-$15/share, possibly increased to $15-$18), ballpark comps (closer to 2.0× EV/LTM sales), a stronger Yen for leverage, and ATE's desperation to add VRGY's high-end digital SOC capabilities. Even so, he still sees TER as the top dog in test, if only as a beneficiary of all the sector consolidation & uncertainty.)

  • Elsewhere in the backend, Amkor sees 4Q about at guidance (-5% to -10% q/q) and 1Q11 with typical seasonality (also -5% to -10%), but then growth resuming. Management emphasized growing copper capabilities. And Formfactor now has "some elements of a turnaround in place" -- including trimming its board of directors -- but uncertainties remain," Muse cautions.

  • In subsystems, AEIS and BRKS are helped by diversification: AEIS into PV inverters, and BRKS in other non-semi sources (to the tune of "at least $40M in revenue").

  • Key MOCVD equipment suppliers Aixtron and Veeco see no signs of slowing in either subsidies or tool orders form China; instead they emphasized "solid visibility to revenue momentum in 1H11," with new tools and an environment where lots of smaller players are driving spending with single-digit orders (translation: market gains at individual customers are hard to track).


Friday, December 10, 2010

IEDM 2010: too academic? or just right?

While attending IEDM 2010 earlier this week, I heard a number of industry experts comment that this year's conference was too academic. Translation: most of the technologies presented would never see the light of day in a fab. Indeed, during the opening day plenary session, it was noted that this year's conference had seen a drop in submissions from industry, while submissions from universities was up.

It seems reasonable that with so much R&D work being done under the auspices of consortia (and surely it will increase as the funding levels needed to get beyond 22nm increase), more papers will be presented by the consortia, and perhaps less presented by sole companies, or small groups. That leaves academia to round out the roster. But with so many different paths to get below 22nm - architecture and materials - maybe this is a perfectly logical development. A bewildering number of pathways might need a large number of research paths to sort everything out. So perhaps it's a good thing.

Please tell us what you think. Was this year's IEDM "too academic," and if so, is this a good trend or troubling? Or maybe this year was just a blip. Or perhaps you think the program is just right. Thanks for taking the time to comment.

Debra Vogler, Sr. Technical Editor
debrav@pennwell.com

WaferNEWS Watch: NVLS, ASML spreading holiday cheer

ASML: €2B with a bullet

In its 3Q10 results (Oct. 13), ASML forecasted 4Q10 bookings of about €1.3B. Eight weeks later it now sees as much as €2B coming in. (No details about 1Q11 numbers.) What happened? DRAM is weaker than expected, but NAND flash and especially foundry/logic new-fab commitments (55% of orders vs. 48% in 3Q) have far more than made up for it, the company says. Credit Suisse's Satya Kumar named names for likely culprits behind the increased demand: Samsung, TSMC, and GlobalFoundries for logic foundry, and IM Flash, Hynix, and Samsung in NAND flash.

None of those bookings include the company's EUV systems, of which six beta versions (NXE:3100s) are now on their way to customers. (Nine of the high-volume production version, NXE:3300, are slated to ship in 2012.) Those, he calculates, could translate into another €1B in incremental revenue, starting in late 2011 and throughout 2012.

While noting that order levels in 4Q are hard to sustain, Kumar sees several truths underpinning ASML's increased order demand, which is on track to end 2010 with a €3.4B backlog:
  • Semiconductor product cycles and market penetrations are only expanding
  • Capital intensity is only going up (memory as well as logic)
  • Lithography capital intensity is *really* going up, with ASPs racing ahead of tool productivity
  • ASML is gaining share vs. competitors (notably Nikon) in the next product cycle
  • EUV is no contest at the moment: ASML is "3+ years ahead" of competing tool suppliers.

Look for order levels to trend back down to ~€1.5B in 2012, which will include EUV, but with lumpiness like we're seeing in 4Q, Kumar says.



Novellus brightens mid-4Q update

In its Dec. 7 midquarter update, Novellus nudged up its guidance for 4Q10 bookings (-5% to +10%, vs. -14% to -10%), shipments (13% Q/Q vs. 9%), and EPS ($0.88-$1.00 vs. $0.85-$1.00) noting that business has picked up since October, with a couple of big orders (for new capacity) potentially making that upswing. 4Q sales ($367M-$385M) and gross margins (49%-51%) remain unchanged (the divergence between improved shipments and flat sales being attributed to new products for new customers). Fab utilizations are above seasonal averages and overall memory is solid (stable NAND offsetting weak DRAM), while foundry activity remains strong. NVLS execs see no pushouts, but the midpoint of the 4Q bookings growth is slower than the previous estimate.

Bottom line, agree several industry watchers (Credit Suisse's Satya Kumar, Deutsche Bank's Peter Kim, Barclays' CJ Muse, Tradition Equities' Peter Wright): NVLS' generally positive outlook sounds an awful lot like other semiconductor capital equipment suppliers. Nothing surprising.

Wednesday, December 8, 2010

IEDM coverage to come!

If you didn't get to attend IEDM or missed some sessions, you can catch up on the discussion topics by listening to my podcast interviews from the conference. Watch for them to appear in the Daily Pulse and WaferNEWS - or just check out the podcast section online at www.electroiq.com. There will be interviews with IMEC, SEMATECH, Leti, and more. (Comments - send email to debrav@pennwell.com)

Have you submitted abstracts yet?

If you haven't checked out our media planners for 2011 - check them out at www.electroiq.com/index/advertise.html. Each media planner (Solid State Technology, Small Times, Advanced Packaging, and Photovoltaics World) contains editorial calendars. We also have editorial calendars for our e-newsletters: WaferNEWS, Small Times (both MEMS and Nanotechnology - the e-NLs alternate topics), PV Times, and AP Semi-monthly. When you find a topic of interest to you, send an abstract to me at debrav@pennwell.com. Thanks!

Tuesday, December 7, 2010

WaferNEWS Watch: Inside the CS Tech Conference

General sentiment reported by Credit Suisse analysts from the firm's annual Technology Conference (11/29-12/2) pointed to a solid opening weekend for holiday purchases and positive vibes about early 2011. Company presentations of interest shed light on strategies at TSMC, Micron, and several equipment suppliers.

Comments from participating companies continued to point to a 1-2 quarter industry pause -- not a cyclical downturn -- wtih lean inventories and visibility into 1Q11 that shows returning to seasonality. Potential upside is in areas relevant to touch-screen, industrials/auto, smartphones & tablets, comm and RF, and lighting. Themes with a longer playout include growth of semi content in devices and higher R&D intensity at smaller nodes. A survey of 200+ investor participants was "cautiously optimistic" on tech with 64% "overweight" on the sector and just 12% underweight; 76% of investors expect tech to outperform in 2011 (14% see underperform), with semiconductors behind only software and tied with Internet for subsector picks.

Another widely supported theme was upbeat attitudes about a successful holiday shopping kickoff, with a handful of happy vendors represented. Visa showed double-digit Y/Y growth in several payment trends. And Microsoft's Xbox had its biggest Black Friday and week in history.

Cautious optimism among chipmakers, suppliers

Updates from several key industry companies indicated slightly better visibility through 1H11, and a number of

-- TSMC noted its 4Q10 is on track and 1Q11 forecasts are better than seasonal (flat to -5%). The foundry still sees much better growth in IDM outsourcing (15%, up from 10% in 2010) than semiconductors (5%), IDM logic (6%), or fabless ("high single-digit"), citing demand for PCs, handsets, and consumer apps. The foundry will grow capex in 2011 with "full loading every quarter" though "not as tight as 2010" -- the tool move-in schedule was Fab 12 phase 5 in 3Q10, then Fab 14/phase 4 in 1Q11, and then phase 1 at its new gigafab Fab 15 in 2Q11. Leading-edge node is clearly a high complexity but high-reward business -- capex per 1k wafers will be doubled at 28nm than 65nm, there are half as many customers two years into the 40nm ramp than at 130nm, and 20% fewer devices, but wafer volumes are 4× higher than 130nm. TSMC is an EUV litho tool user, but hasn't finalized the timing of a ramp due to well-known throughput issues. It's been a big e-beam proponent but that technology requires a ballet of multiple tools used in parallel; TSMC is betting on extending double-patterning to the 20nm node, then requiring EUV at 14nm in 2015. TSMC also has a phased plan for its LED business (lower costs/tech development, develop a 20% ROE biz model, and then ramp volumes) and solar fab (completed by mid-2011 and then initial volumes).

-- Micron sees 1Q11 demand slightly above seasonal, with DRAM inventory rebuilds starting up, including for the Chinese New Year. Intel's new Sandy Bridge architecture should help with demand as gfx/processor compete for memory resources. Bits/box should rise from 3.3GB to 4.5GB by year's end. While inventory might be lean for semiconductors in general, MIcron sees inventory still at 3-4 weeks and needs to get to 102 weeks in 1Q11 to stabilize and boost prices. The top 3 DRAM makers are widening the gap with Tier 2 suppliers; Micron sees a 25%-50% gap in cash costs alone. Look for 45%-50% supply growth for DRAM and 70%-90% NAND in 2011; NAND flash bookings have picked up very recently (though ASPs are still down in double-digits), and MU is "optimistic about non-Apple builds and smartphones" through 1H11. And solid-state drives continue to gain momentum; MU thinks notebook vendors want up to 25% of notebooks to have SSDs targeting ~256GB or more (though OEMs need to drop prices another -10% to $1/GB), a level of demand that will require four more NAND fabs to satisfy.

-- ASML sees 10% growth in the litho market (vs. SEMI's new estimate of 7% in overall wafer processing), driven by technology shrinks, with "no weakness in any segment." Six EUV beta tools are now out (CS's Satya Kumar says to Intel, Samsung, Hynix, Toshiba, TSMC, and IMEC), with production tools to ship in 2012. Despite some analysts' worries to the contrary, ASML claims to be seeing "no pushouts" and sees strong demand; Kumar thinks is because NAND capex is frontloaded for Samsung in 2011, and backloaded for others.

-- Could 2011 capex be flat? That's what AMAT thinks could happen. DRAM spending probably won't dip below -30% decline, since any lower than that wouldn't support 50% bit supplies for 2011. Foundry capex should be firm through 1H11 and "could surprise in 2H11." And 2011 could be the year that NAND capex usurps DRAM (though DRAM has surprised us in the past). Regarding the solar sector, AMAT estimates 35-40GW of solar cell capacity by the end of 2010 and 55-60GW by end of 2011 -- way more than CS' demand estimates of ~14-15GW. That's not to say that we're already oversupplied, though, notes Kumar -- low-cost Chinese cell suppliers are already at full utilizations and Taiwan/Chinese companies are adding incremental capacity, "which means the bar is significantly higher for solar now."

-- LCRX is comfortable with its 4Q10 shipment guidance, as NAND pullins are helping offset DRAM price declines. 1H11 shipments are tracking slightly better at flat to -5% (vs. prior outlook of -10% lowend).

-- CYMI has shipped a fourth EUV unit (out of six total), with the fifth likely shipping in early 2011. EUV ASPs are likely to be 10% of overall value; gross margins will be below CYMI's 50% average. Interestingly, CYMI thinks it could recognize EUV and TCZ revenue in 2011 (ASML will postpone EUV revenue until 2012). Onpulse, the company's long-term service program that charges by pulses, accounted for 70% of installed base quarterly revenue and 60% of total company revenue. Gross pulses increased 3% in 3Q10, a counter-cyclical trend apparently the result of companies' habits of tightening utilization first in an upturn, and tune it down last on the downswing.

-- Like others, KLAC is comfortable with high shipment expectations through 1Q11, with a $1.1B shipment backlog ($1.4B total) likely translating to >$800M in shipments during that period. Like AMAT, KLAC sees 2011 total capex possibly flat, with NAND capex on the cusp of surpassing DRAM capex. An average fab at the 4X node requires 40% higher capital intensity than a 6X fab, the company calculates.

-- VECO forsees a dramatic plunge in LED device ASPs over the next two years, which will drive a 31% CAGR, and push the company to 50% market share. LED equipment customers in China (a region that's 30% of VECO's sales) range from well-established firms to startups to JVs with Korean or Taiwanese partners. One worrisome point: uncertainty over expiration of "generous" Chinese subsidies, which expire anywhere from 6-18 months; these average $1M/tool and have fueled record MOCVD sales for both VECO and Aixtron. AMAT and some Korean startups hope to crack the LED tool sector in the near future.

-- A dinner meeting with MEMC investors and execs shed light on smaller-diameter wafers; the company is seeing an uptick in 200mm ASPs (for semiconductors), and that 2011 ASPs should continue to rise (WFR is more exposed to 200mm than Japanese rivals, notes CS' Satya Kumar). With this projected increase, WFR wants to focus on 200mm and "avoid new 300mm capacity in the near term." In the company's solar business, it plans 600MW capacity expansion in Malaysia, with $300M capex incurred; half of total capex will be incurred in 1H11. The new technology will be multicrystalline with larger crystals and efficiency "near mono," but Kumar notes scalability has yet toi be demonstrated. WFR execs emphasized they will add capacity only if they have matching in-house poly capacity, to shield against poly cost volatility; the company wants to avoid cell or module capacity investments, since oversupply could slash GMs by 50%.

WFR company execs also offered more insights into the non-GAAP accounting treatment of the SunEdison business, which is something of a sore point -- "the company had been frustrated by the lack of comparability between the SunEdison previous real estate-based accounting treatment and competitors’ IFRS," Kumar notes. Changing the accounting method means all revenue will be recognized at the project sale, while cost recognition will happen as incurred -- that's a change from GAAP real estate-based accounting, where revenues cannot be recognized until guarantees are fulfilled 100%. This means, for example, the company's Rovigo sale will push up 4Q10 non-GAAP revenue, but most costs will be incurred in early 2011 since most of the MW has yet to be built out.

Calling for articles on nanotechnology, especially biotechnology

If you have an interesting abstract on the topic of bionanotechnology, please submit it to debrav@pennwell.com for Small Times.

Call for feature articles for the July/Aug issue of PVW

If you are a solar cell/module manufacturer, or a supplier of solar PV equipment/materials, please submit abstracts to debrav@pennwell.com on the following topics: update on c-Si technology, silicon production, and/or wafering and sawing. Thanks!

Friday, December 3, 2010

WaferNEWS Watch: Sizing up the shrinking SoC market

The system-on-chip market was a cattle-call barely a decade ago but has thinned to just three major providers now, and that's best for everyone -- but especially Teradyne, says Barclays analyst CJ Muse. He also addresses whether there's any hidden upside to the Samsung-AMAT settlement.


Handicapping the SoC market: Three's not a crowd

Now that the market for system-on-chip (SOC) test is down to three main suppliers (Teradyne, proposed LTX-Verigy merger, and Advantest), Barclays' CJ Muse handicaps the field. Advantest's key customers are Intel and AMD (plus some Toshiba business), but though its T2000 has expanded it's still lagging TER and VRGY in performance and roadmap, he says. A merged LTXC/VRGY means no more single-platform strategy: look for the Diamond to overshadow the V101, and the 93K to win out over the Sapphire, he says. Teradyne, meanwhile, has emerged as the company with the most complete SoC test portfolio; and as with any merger, some affected LTXC/VRGY customers (especially those using to-be-discontinued products) could be up for grabs.


Semiconductor test product matrix. (Source: Barclays Capital)


TER has pulled away from the pack over the past five years to grab ~50% share in 2010, thanks in part to mix (less CPU/GPU spending hurts VRGY and Advantest) but also by gobbling up other's business. TER is holding its own at digital powerhouses Qualcomm and Broadcom, is making inroads into LTX "strongholds" TXN and ST, and Muse says its Eagle Test division has "incremental gains" for core analog at Monolithic Power, On Semi, and Linear. TER also has a strategic focus on next-gen products centering on mobility (getting more out of chip design or test functionality) and power efficiency (exploring areas such as LED or different storage techniques) that should maintain its ~50% share, he believes.



SOC test market share, in % (bars) and US $M (table). *Estimated (Source: Barclays Capital)


A market that just a decade ago had a dozen jostling competitors now has three players with ≥95% market share (two with ≥80%), and that makes the market more rational, Muse writes. Socket wins and competition will still persist, but more rational behavior from management should keep up the ASP pressure and better support margins for everyone.

UPDATE 12/6: Advantest has submitted an unsolicited $12.15/share (~$700M) bid for VRGY, which said it would initially reject in favor of going forward with LTXC -- however, it coyly noted that "the Advantest proposal might lead to a superior transaction" (read: pay us more) and so will "engage in discussions." Muse's take: Advantest lags "severely" behind all three SoC test players (TER, VRGY, LTXC) and probably sees this is as its "last shot at obtaining core digital and/or analog technology" from either VRGY or LTXC. He sides with the VRGY-LTXC as the better strategic combination ("LTXC's low-end digital and analog is precisely what VRGY is missing"), but adding Advantest to the mix clearly puts that deal at risk. (Investors seem happy just to have a second suitor; VRGY stock is currently up 40% after ATE's offer, wiping out what was a 30% premium to VRGY's Friday stock price; LTXC is down 12%.)



AMAT-Samsung: Back to just friends?

Speculation that AMAT's settlement with Samsung over an IP-leak dispute featuring reduced tool pricing could float its market share at its key customer might not be quite accurate, says CJ Muse from Barclays. While the supplier marches on with new chip tool introductions, its "gradual progress in organic growth" puts it at roughly 19% market share through 2009 for wafer fab equipment -- that's below the 22% it held in 2004.

As for AMAT's newest etch products, Muse notes they "are intended to be useful in SADP/ LELE etch (for now) and in TSV silicon etch (in 2012)," and the Centris "may have helped performance at Toshiba, Samsung, and Micron" (AMAT said five customers have or will soon take shipment). Still, he sees these new tools as "more evolutionary than revolutionary, and aimed at recapturing share lost in silicon etch when deep trench architecture converted to stack in DRAM." And taking share won't be easy in an etch market that includes not just familiar foes Lam Research and TEL but also Hitachi, China's AMEC, and Mattson (for noncritical etch), he points out.

Monday, November 29, 2010

WaferNEWS Watch: The semicap-industrials disconnect

Rumors of a Samsung equipment pushout are simply another chapter in the company being logically ruthless in its litho tool procurement strategy. And there's a disconnect between semicap and industrial stocks despite some key commonalities, and there's money on the table for those who can figure it out.


Rumors of a Samsung DRAM equipment pushout have been greatly exaggerated, when in fact it's "the same old story [...] playing all over again," writes Barclays CJ Muse: Samsung's being logically ruthless in its litho tool procurement strategy. "A litho tool in their hand is one that is not with a competitor," so it's scooping up XT tools off the market and putting them into its NAND lines, and moving NXT tools over to its DRAM operations. Thus NAND demand is pulled in but DRAM is pushed out, and that is the balance in Samsung's orders vs. shipments, not any weakening demand.

There is, however, a delay in getting Samsung's Austin TX facilities ready for system LSI work, Muse points out. But with key customer Apple waiting for parts, he thinks those particular tool shipments probably won't slide more than 2-3 months into 1Q11.


Who's wrong, semicap investors or industrials?

There's a disconnect somewhere, and Barclay's CJ Muse says there could be money on the table for investors who figure it out.

Fact: Both semiconductor equipment and industrials are heavily weighted to worldwide GDP. Another fact: industrial stocks' P/E multiples are at a significant premium vs. semicaps, now around 25% after being as much as 57% back in August and ~30% for most of the year. That P/E premium is "difficult to comprehend," Muse argues, since both sectors are leveraged to the same end markets, and both seem to highlight a global supply/demand footprint and cost-structure aims. (Yes, semiconductor capital equipment firms are notoriously cyclical, but he argues that their improved margins in this cycle should have closed that P/E multiples gap with industrials -- which have their own cyclical plays too, he adds.)


P/E Premium – Industrials vs. semi equipment on CY11 consensus EPS. (Source: Barclays)


Industry watchers believe we're in a mild and short mid-cycle correction, and guidance from some key companies (KLAC, NVLS) suggests an order trough is on the immediate horizon (1Q-2Q11), on the order of -15% to -25%. "Once we get clarity on what the trough looks like, we believe investors will likely want to own semi cap equipment shares again," Muse writes. Investors generally don't fight the semiconductor cycle (much as they "don't fight the Fed"), but "any way you slice it, we believe the selective names that can drive superior cash flow generation this cycle (i.e.: LRCX, TER, KLAC] should see outperformance, particularly relative to higher-priced industrials shares," he says.

Tuesday, November 23, 2010

WaferNEWS Watch: Inside AMAT's 4Q numbers, 2011 outlooks

We take a look at AMAT's fiscal 4Q10 and 2010 numbers and 2011 forecasts, for its own businesses and WFE overall -- where it's made share gains, where it sees strength and softness, and how the pipeline has changed significantly.


AMAT's 4Q10 numbers at a glance:

AMAT topped Wall Street outlooks for its fiscal fourth quarter (ended Oct. 31): $0.35 EPS on $2.89B in sales (15% growth on the latter), vs. $0.31 and $2.59B. Bookings overall were up 11% Q/Q, Sales for the fiscal year were up 90% to $9.55B, with a $938M profit ($0.70 EPS). (Fiscal year results would have been higher without charges related to ramping down its thin-film PV activities.) For its fiscal 1Q11 AMAT sees sales down -8% to -15% ($2.46B-$2.63B), at the floor of Wall Street's $2.63B estimates, with $0.32 EPS right in line with the Street's expectations.


Key takeaways from the AMAT numbers and conference call, highlighted by industry watchers:

-- Bookings and backlog strong, but 1Q soft anyway. DRAM memory spending is down and will continue to be soft, but foundry and log more than made up for it in 4Q10.

AMAT's Mike Splinter pegged 2011 wafer fabrication equipment (WFE) sales at roughly the same dollar figure as 2010, $29B-$30B, plus or minus 10% (this "is now the most aggressive on the street," noted Credit Suisse's Satya Kumar), and the company is now tracking 17 fabs, vs. the 14 it said in July at SEMICON West. Look for a big bounce in NAND spending in 2011, with foundry spending flat or a little up.


WFE flat; NAND up, DRAM's the key. For WFE, and particularly NAND, look for the second half of 2011 to be stronger than the first half, according to AMAT's Splinter. A big driver of NAND spending will be to support tablets and smartphones; he cast out production numbers of 50M and 400M, respectively. "The big pitfall or potential upside is all around DRAM and PC-related demand," Splinter said during the conference call Q&A. "If WFE stays as strong as it is at the beginning of the year we'll have a stronger year."


Share gains in etch, CMP, wafer-level packaging. AMAT believes it has gained 2 percentage points of market share in that sector (roughly equal to $600M in sales), including 4 percentage points in etch (a point it made last quarter too. Barclays' CJ Muse narrows this down to wins at TSMC (CMP, WLP ECP), Samsung (silicon etch, inspection), and Intel (WLP ECP). "We won a lot of share back" in CMP, Splinter noted in the conference call Q&A, and "won basically over 60%" of decisions in wafer-level packaging.


200mm is robust. "Right now we're in a bit of a surge on 200 millimeter capacity," AMAT's Splinter said during the conference call Q&A. The company's AGS (services) business saw its margins dented because of this, due to 200mm shipment issues, admitted CFO George Davis during the Q&A -- as others had warned might happen. A lot of 200mm capacity was taken offline in 2009, but is still and increasingly desirable for things like MEMS, power transistors, analog devices, display drivers, even solar panels and electric cars. Look for 200mm demand to peak "sometime in 2011" and then level off for a few quarters at around 10%-20% rate, Splinter suggested.


Solar still strong, at least c-Si. Softness also is taking hold in the company's displays and EES segment, though crystalline silicon solar continues to be a good business, AMAT says: its EES sales in 1Q11 (c-Si only now) will "eclipse" 4Q levels, and installs could increase nearly 30% in 2011 to 21GWp. (Though this could be the first candidate for overcapacity risk and underperforming orders, warns Deutsche Bank's Peter Kim.) AMAT still has one SunFab factory it's waiting for signoff (~$50M-$75M), and is in talks with other SunFab customers about potential upgrades but with no forecasted business coming at this point.

AMAT's numbers of solar capacity additions are "significantly first-half weighted," noted Credit Suisse's Satya Kumar, and most of that is probably coming in low-cost regions -- e.g. China and Taiwan, which drove 75% of 2010 capacity adds and now encompass 65% of global solar capacity. 85% of AMAT's EES backlog is in c-Si, he added.


It's a new turns-business world. AMAT execs corrected one Wall Street analyst during the conference call Q&A who tried to extrapolate current bookings trends into 2011 shipments and sales. "Our order pattern has dramatically changed from history," Splinter said, and looking at the bookings backlog has become more about "who is ordering them than order of magnitude itself." Customers aren't ordering now for deliveries six months out or more (i.e. into 2H11), it's the "other way around, ordering now for deliveries in this quarter."

Wednesday, November 17, 2010

What is a chaogate?

A team of researchers have devised a new alternative to conventional logic gates, fabricated in silicon. They've named the creation "chaogates," a nod to the chaotic patterns used to encode and manipulate inputs to produce a desired output.

What do you need to know about chaogates?
How they are formed: The researchers selected desired patterns from the infinite variety offered by a chaotic system. A subset of these patterns was then used to map the system inputs (initial conditions) to their desired outputs. Exploiting nonlinear dynamics allowed them to design computing devices with the capacity to reconfigure into a range of logic gates.

Chaogates' niche in the semiconductor industry: Standard logic, memory and chaogates can co-exist on the same device. Inventors envision chaogates in gaming devices, and highly secure applications. Chaogates can rapidly "morph" between various functions, reconfiguring itself as needed.

Who will fabricate them: ICs using chaogates can be manufactured using the same wafer fabrication, assembly and test facilities as those already in use today.

Read more about chaogates here.

Monday, November 15, 2010

WaferNEWS Watch: CODE reuse, Samsung shivers, AMKR's no canary

Doug Freedman from Gleacher & Co. is bullish on Spansion, which he applauds for shedding its commodity-memory clothes to embrace its role in embedded NOR flash apps. Elsewhere, analysts ponder the potential fallout of a Samsung DRAM-tool pushout, and the bright side of Amkor's 3Q/4Q missed numbers.


CODE reuse: Tracking Spansion's triumphant return

Bullish on Spansion? That's Doug Freedman from Gleacher & Co., who applauds the company's emergence from bankruptcy with a focus on diverse embedded NOR flash end-markets -- and walking away from consumer mobile phones -- that frees it from the relentless price-gouging and cost-cutting pressure cooker of commodity memory. Where cell phones are cost-sensitive and lean toward more leading-edge geometries, Spansion's new core strategy embraces markets where its trailing-edge NOR flash technology (read: reliable, manufacturing-efficient, lower-cost wafers) can be used as code storage alongside integrated MCUs: industrial automation, automotive, gaming, smart grid, comm/networking.

Characterizing Spansion's new go-to-market strategy as "very similar to general purpose analog suppliers," he sees more likeness to a model like Cypress Semi -- "gross margin of 57% with roughly a 35% operating margin [...] and very similar cash flow characteristics" -- and share gains approaching 40% (vs. 30% today), in what he sees as a favorable competitive landscape in embedded including Macronix and Winbond, both of whom are lagging in technology (110nm and 90nm respectively), while CODE can get down to 65nm with scale.

With CODE dropping the bankruptcy label that kept it blacklisted from OEMs' preferred vendor list, the near- and medium-term picture looks bright, Freedman proposes. (Just watch the long-term possibility of longer-term memory integration, possibly from SoC/monolithic IC suppliers, he warns.)


Samsung shivers, LRCX freezes?

CitiGroup's Tim Arcuri warns that Samsung could push out up to $1B of orders for capacity-additive DRAM manufacturing equipment, and subsequently cast a downward glance on several firms (LRCX, NVLS, ASML) that are heavily leveraged to that customer. Barrons blogger Eric Savitz applauded Arcuri for "a refreshing bit of candor" in his mea culpa of a previous Sell rating being proven "flat-out wrong," with earnings rising more than expected and share gains "very impressive." Nevertheless, he warns that the Samsung tip-off could lead to a LRCX warning about calendar 4Q, and quarter shipments appear in worse shape than the company's last public outlook. (Arcuri isn't alone; Goldman Sachs reportedly removed LRCX from its "conviction buy" list too.)


False alarm: AMKR's no coal-mine canary

Amkor's 3Q10 results and 4Q10 outlook fell short of expectations, citing reasons from a tilt in mix toward BGAs (high-demand/high-content/low-margin) to lower utilization to currency valuations, but don't take the misses as a broader message about the semiconductor cycle, notes Credit Suisse analyst Satya Kumar. Rather, focus on AMKR's story: "substantial cycle to cycle improvement in metrics like capital discipline and gross margins," he writes. "It does appear that the weakness is more due to semis going through a mid-cycle pause than share losses." Assuming one takes TSMC's projection of 14% foundry growth to heart, that could translate into ~10% for AMKR, he says, while the company's declining capital intensity (~12% in 2011 vs. 16% in 2010) will boost cash flow.

Tuesday, November 9, 2010

WaferNEWS Watch: Handicapping LCD capex; WFR's overcast skies

Like its semiconductor cousin, LCD capex is going gangbusters in 2010 (77% growth, vs. ~60% foreseen previously), and Barclays' CJ Muse sees this trend continuing in 2011. LCD capex looks like it'll decline around -15% next year, but that's still good enough to be among the third best years since 2000, driven by Chinese panel makers and LG Display's Gen 8 expansion. 4Q10 will be the trough of the LCD cycle, Muse writes (in terms of panel pricing and glass demand), though lower capex means lower equipment orders and sales through 2011. Look for the biggest impact, he says, on tool suppliers Orbotech (~50% of CY10 sales in LCD), Applied Materials (~10%), Advanced Energy (~6%), and MKS Instruments (~3%), as well as Ulvac (~38% display) and Tokyo Electron (~11%).

And lower spending while capacity surges (19% in 2011, on top of 26% in 2010) means "a relatively healthier environment" for panel makers and suppliers, Muse notes. It's helped that a swarm of capacity expansions into China planned earlier in the year have stalled.


LCD capacity expansion plans. Source: Barclays Capital, company reports, DisplaySearch


Searching for sun in WFR forecasts

MEMC's 3Q10 results fell short of estimates, with margins lagging expectations; look for its solar biz to rise and semi side to sink, notes Deutsche Bank's Peter Kim. "We believe that the semi wafer industry is seeing some headwinds as utilization rates seasonally slow and potentially enter over-supply (as warned by Shin-Etsu last week)," he writes in a research note. While solar is seen as "robust" for several quarters, he sees supply/demand warning signs of potential oversupply in solar wafers. And SunEdison could get more complex, as projects soon coming online will have margins hit by declining FITs in 2011 and 2012.

Others see darker skies, pointing to WFR's inability to pin down a 2010 EPS number, citing the aforementioned SunEdison project complexities (namely its Rovigo project, which is supposed to clear direct sale in 4Q). "That's the worst thing. We're only one quarter out from the end of the year and they can't even guide," notes Oppenheimer analyst Gary Hsueh, quoted by TheStreet.com. "It seemed like the worst last quarter when they said that EPS would miss but couldn't be more specific, yet now they don't have a clue."

Wednesday, November 3, 2010

Prop 23 widely rejected by CA voters

Some say the opponents were disorganized. Others point to haphazard funding. Some say that Californians simply recognize clean energy as the future for their state, and economy. With nearly all votes counted, Proposition 23, to repeal the anti-pollution act AB 23, fell short. 61% of voters said no to Prop 23, which is being hailed as a victory for renewable energy like solar power.

Read the results, sourced from The LA Times, here.

A great deal of you are from California. Let us know how you voted on Prop 23, and why, in the Comments section

Tuesday, November 2, 2010

WaferNEWS Watch: A narrow, short semicap correction

Semicap orders are indeed sliding, but two industry analysts scanning the post-3Q10 results and commentaries conclude that it'll be both mild and short-lived.

3Q results and comments from semicap bellwethers (NVLS, KLAC) point to "real softness" in orders, with likely pushouts from TSMC, UMC, and Tier-2 DRAM makers -- "onsey-twosey-type pushouts that when you add them up can drive real weaknesss," says Barclays analyst CJ Muse in a research note.

TSMC, while saying it'll boost capex in 2011 above this year's $5.9B, has pushed some deliveries by up to six months into 2Q11, and is reducing its leading-edge fab-filling expectations -- its Fab 15 will now load to 50% 28nm, instead of 100%, with the rest taken up by "trailing-edge" nodes, Muse says. Other foundries (e.g. UMC, SMIC) are cutting a few tools as well. Memory is even harsher, e.g. IM Flash, Elpida, Promos, and Rexchip (cancelled R2 fab). And even Toshiba is supporting its NAND ramp with slower than expected ordering, with Fab 5 expected in late 2011.

Meanwhile, data from SEMI's monthly book-to-bill data suggests momentum in the backend segment of semi equipment "is grinding to a halt" and "the inevitable bookings roll-over has materialized" -- bookings down -38% from summertime peaks, and -44% for backend bellwether TER due to spending cuts from OSAT firms [FIGURE]. Satya Kumar with Credit Suisse agrees, noting that semicap companies are generally guiding down orders for 4Q10, particularly for backend tools.


Industry back-end bookings. (Source: Company comments, Barclays Capital)


Meanwhile, on the frontend of semiconductor manufacturing, order strength "started to crack" in 3Q, as seen in weakness in foundry and tier 2 DRAM from NVLS and LRCX, and KLAC's -26% dropoff in orders since June. "It is clear that visibility has weakened and cautious behavior on the part of chipmakers has emerged," Muse writes. (ASML is pretty much immune to all this, he says, because it's "the prized possession of the haves" e.g. Intel, Samsung, TSMC, etc., "and the 'crave-for' of the laggards." He pegs 2011 immersion tool shipment visibility of 100 units, up 10% from 2010, thanks to share gains at Intel and node shrinks "the only salvation" for memory firms to generate cash and pay debts.)


Order, shipment, revenue outlooks by front-end levered semiconductor equipment companies.
(Source: Company comments, Barclays Capital)


But behind the softness is underlying support to keep this downswing as a temporary hiccup in semicap, the analysts seem to agree:
  • No surprise in semicap slowdowns. The slowdowns in orders for semiconductor manufacturing equipment are in line with expectations, and are lagging datapoints anyway, Kumar writes. "If demand continues to recover, semicap orders will start to improve in a couple of quarters."
  • End demand looking better. Unit end-demands have improved after an August lull: smart phones "are very strong," PC ODM builds are tracking up 7% Q/Q for 4Q10, and tablets are promising "at least" 50M units in 2011, Kumar notes. DRAM content per box growth has flattened out, but that's not a surprise, and should improve in 2011 amid recovering demand and higher pricing. He sees "at least 2-3 years" for current cyclical growth due to product cycle trends (smartphone/tablets) and penetration growth in emerging markets.
  • Each major chipmaker segment shows promise. TSMC's projection of better-than-expected 14% foundry growth in 2011; chip companies are reporting higher 2011 foundry capex and in-line memory capex. NAND prices and demand "are robust," and foundry utilization rates are tracking better than expected in 4Q10. Plus, capital intensity for chipmakers (particularly memory) is only going up, and soon they'll have to add capacity in addition to shrinks. And foundry capacity is getting more expensive to add as well.

  • Moreover, current frontend capex drivers -- a foundry arms race including TSMC, GlobalFoundries, and Samsung, and NAND driving 2011 capex -- are independent of what goes on in the backend, namely SOC test and TER (which has seen spiking lead-times and a mix shift toward power/wireless). "We don't see how seasonal/digestion patterns from the back-end will prevent chipmakers like IMFS, Samsung, and Toshiba from continuing to build out new, strategically focused NAND capacity in 2011," Muse writes.
Bottom line: Wafer-fab equipment spending is destined to decline in 2011, but the magnitude and duration of the slide might not be unpalatable. "NAND and foundry trends are robust and better than expected," and DRAM is weak but not unexpectedly so, Kumar projects flat semiconductor capital expenditures for all of 2011, in which he sees a mild (-25%) and short (two quarters) correction in orders.

Muse likewise sees around -20% to -25% downside to orders, with WFE spending flat to -10% in 2011 -- a correction that "will likely be mild" and not the big whipsaws of recent years, he writes. At an individual company level he sees varying risk to orders, shipments, and revenues, but the overall trend isn't so bad. "A correction has begun, but the magnitude of the correction will likely be limited," he suggests.


WFE scenarios. (Source: Company comments, Barclays Capital)

Tuesday, October 26, 2010

WaferNEWS Watch: Deconstructing LRCX, sizing up CYMI

The most interesting data point from Lam Research's (LRCX) fiscal 1Q11 results call may have been the observation that foundries are buying new 300mm equipment for trailing-edge processes (0.15μm-0.10μm) -- the last time that happened, the industry saw a capex surge. Also: Mixed results for CYMI, and an interesting nugget from the EUVL Symposium about EUV source power.


Deconstructing LRCX: The rise of trailing-edge 300mm

The most interesting data point from Lam Research's (LRCX) fiscal 1Q11 results call? Foundries are buying new 300mm equipment for trailing-edge process work (0.15μm-0.10μm), and the reasons behind this trend are worth consideration, says Credit Suisse's Satya Kumar. If the manufacturing economics for 300mm/trailing nodes are now even lower than for 200mm wafers, chipmakers can retire 200mm assets and replace with 300mm equipment -- a trend last seen in 2007 with DRAM, which "drove a strong capex cycle," he notes. Or, the cost-case could be from the other direction, with demand for trailing-edge chips spurred by increasingly broad-based semiconductor usage.

Meanwhile, LRCX blew out its 1Q numbers (EPS $1.52 on $805.9M sales, vs. Street's $1.37 on $793.9M), and is guiding higher for 2Q11 (sales ~$825M vs. Street $811M, shipments $865M vs. $838M, EPS $1.55 vs. $1.42). Execs expect 1H11 to "look a lot like 2H10," as shipments plateau, with some caution in 2011 planning due to PC/consumer softness though broad trends are healthy. Tradition Equity's Peter Wright noted that LRCX management sees tablets at ~40M shipments in 2011 and "largely cannibalistic to netbooks."


And more broadly, LRCX's results and comments help Kumar's argument that, assuming semiconductor capital intensity is only going up, "then we are still in year 1 of a 3 year up cycle." Deutsche Bank's Peter Kim, though, sees growing foundry spending as "looking more and more like a bubble," with LRCX's 4Q projection of >40% shipments to foundry and well beyond previous highs in terms of dollars.


Sizing up CYMI, and an EUV horserace?

Cymer eked ahead of Street estimates in its 3Q10 results ($141.7M sales and EPS $0.70 vs. $137.2M and $0.63), but its outlook for 4Q is low: $140M-$145M and $0.66, vs. $150.8M and $0.72, blamed on lower immersion and EUV units. Positives out of the call: CYMI has shipped 2× more immersion sources in the past two quarters than competitor Gigaphoton; the company should gain share as memory capex wanes slightly (and CYMI indeed thinks it has won new memory biz, perhaps Samsung); and there's increased customer interest in TCZ. Still, Kumar points out, margins are a bit soft, and there's news from the EUVL Symposium that DPP lasers have higher throughput than LPP for EUV (CYMI uses DPP, Ushio has DPP), though both are still <10wph throughput; this is something that could make EUV production a bit more of a horserace, he notes.


XLNX: How to close a 40nm gap

Xilinx has its work cut out for it: rival Altera has enjoyed nearly double the growth in the past two years, largely in part to its higher mix of 40nm process technologies, writes Credit Suisse's John Pitzer. "While XLNX held the lead at the 65nm process node, it conceded the lead at 40nm where it is ~3-4 quarters behind ALTR," he writes. ALTR's 40nm sales mix was 13% in 3Q10, vs. just 5% for XLNX, which translated into $69M vs. $31M. "The challenge for XLNX is to close the gap while ramping a new foundry partner in TSMC," he says.

Tuesday, October 19, 2010

WaferNEWS Watch: Three candidates for semicap LBOs

Time for a wave of leverage buyouts in the semiconductor equipment sector? Credit Suisse's Satya Kumar thinks so, with some big names possibly in the mix. Also, IC distributors' efforts to burn & churn will oscillate back up the supply chain to a basket of IC suppliers. And ASML's quarterly results point to some ups, downs, and more ups again.

Time for semi tool LBOs

Time for a wave of leverage buyouts (LBO) in the semiconductor equipment sector? Credit Suisse's Satya Kumar thinks so, with some big names possibly in the mix, due to a number of factors.

There's been an uptick in LBOs in the broad stock market, Kumar points out, citing most recently last week's rumors of Seagate in talks with private equity (PE) firms. High yield debt markets are finally showing signs of improvement, interest rates are at shockingly low levels -- and while PE fundraising is still touchy, funds raised around 2005-2007 are at a point of mid-life where investors like to look for the exits. Moreover, investors may have reacquired an appetite for risk in pursuit of higher yields, Kumar notes. PE funds won't stomach any 10×-12× EV/EBITDA multiples this time around, but valuations for semiconductor capital equipment companies are much more compressed in this cycle (5×-6× EV/EBITDA), to a level "that make LBO scenarios more plausible," he writes.

So who might get a PE knock on the door? Kumar points to NVLS, TER, and VRGY as most likely candidates from a valuation perspective; those stocks "show 22% to 56% upside in an LBO event under a stressed and base line capex scenario, and yet return 20% IRR to potential private equity buyers," he writes. VRGY and even AMAT may also generate some interest if only to pursue a change of strategy (as have done NVLS and TER in recent years) -- e.g. focus on profitable business & exit unprofitable ones, optimize opex to streamline R&D, and pursue inorganic growth through M&A.

Channel churn dings IC suppliers

A basketful of semiconductor companies have a problem, says Doug Freedman of Gleacher & Co. General-purpose products often are ordered without exact correlation to demand, and now order trends from the distributor channel are "rapidly slowing" as IC distros seem "in deeper and earlier than feared stages of burn," in an effort to ward off more inventories and bide their time for some possible pricing relief in early 2011, he writes. This could soak some firms who depend on the channel for the bulk (40%-70%) of sales -- most notably NSM, TXN, ISIL, MCLR, and MPWR -- who should see more oscillation in their revenues into early 2011 while distros rebalance their supply vs. demand. "We imagine that management teams are finding it increasingly difficult to assess at what point backlog reduction will stabilize," and will probably have to embrace more future turns business, he writes. (Others not so affected: LLTC, MXIM, and ADI, who he says "have possessed greater discipline, also evident in past cycles, to prudently control distributor take-rates.")

ASML: Digestion, then growth on EUV

In semiconductor manufacturing, particularly at the leading edge, one name in lithography gets a lot of attention: ASML. (At a recent SEMI talk near Albany NY, an audience member asked about the fortunes of the other two historic litho competitors Nikon and Canon, to which a GlobalFoundries exec quipped: "...Who?") And so ASML now gets some extra attention after opening its quarterly books last week, showing soaring 3Q10 profits and sales in line with or slightly better than expectations.

Credit Suisse's Satya Kumar breaks down ASML's quarterly results and projects their business in 2011 ("digestion") and 2012 (growth resuming as EUV arrives). He thinks fears of a pause in foundry spending "are overdone," with TSMC and GlobalFoundries both looking for more business in 4Q10, and Samsung's foundry business tight thanks to Apple. NAND litho capex in 2011 will be double the 2010 levels, according to the company, while DRAM will be down. Looking into 2011 trends, Kumar expects "some sort of order digestion phase for ASML," some contraction of margins as low-margin EUV prototype tools start shipping, and then order growth resuming by the end of the year and into 2012 as the EUV wave arrives with third-generation tools.

INTC's 3Q takeaways: Good news from PCs

Intel's reported 3Q10 sales ($11.1B) and EPS ($0.52) were slightly better than Wall Street expectations ($11.0B and $0.50). Capex target is held at $5.0-$5.4B. Some takeaways from industry watchers:

-- The results were actually "much better than feared" and even "reasonably robust" given worrisome signs of desktop weakness in the channel, noted FBR Research's Craig Berger. Peter Wright from Tradition Equity points out original guidance was $11.6B in sales, but the miss wasn't too bad, with softness in late July/August seemingly reversed in September thanks to servers and price-cut-driven Chinese demand.

-- Deutsche Bank's Ross Seymore notes INTC's 4Q outlook of 3% sales growth "embeds significant caution (half normal seasonality)," with efforts to control both its own inventories and in the channel -- but this is "a prudent guide," he writes, following a below-seasonal 3Q and expected continued soft consumer demand.

-- INTC's 4Q outlook is "clearly not a reset," agrees Credit Suisse's John Pitzer, as inventory will grow only 6% (following 3Q's 2%) which doesn't portend future utilization cuts. Moreover, "PC supply chain significantly under shipped PC consumption in 3Q and is likely to do the same in 4Q -- always a bullish tactical indicator," he notes. While FBR's Berger isn't sure that INTC's 4Q better-than-expected 4Q outlook (sales flat to 7%, 67% gross margins) applies to all PC chip firms, nevertheless he proclaims the global PC inventory correction nearly complete."

Wednesday, October 13, 2010

Solar Power International's hottest stories

Solar Power International 2010 kicked off this week in Los Angeles, CA. Photovoltaics World, with sister publications RenewableEnergyWorld.com and PennEnergy.com, is covering the show with everything from keynote summaries, analysts' takes on ECD and ESLR, live interviews with executives at Skyline Solar, DEK Solar, Oerlikon Solar, and other top companies, to podcasts with Opel Solar, eIQ Energy, Solar Frontiers, and many more.


SPI notes: Inverter spotlight, updates on ECD, ESLR

Deutsche Bank analysts report from the first day of meetings at this week's Solar Power International (SPI) show in Los Angeles, where the early spotlight is on inverter suppliers, and updates from Energy Conversion Devices and Evergreen Solar.


Solar will be number-one power source in US: SEIA keynote from SPI

In the opening address to Solar Power International, taking place this week in Los Angeles, CA, Rhone Resch, president and CEO of the Solar Energy Industries Association (SEIA), highlighted solar energy's triumphs and the work left to be done. Here are his main points.


SPI Day 1 notes: Demand strong, poly prices spiking

Two key themes emerging from meetings with a Credit Suisse analyst at this week's Solar Power International (SPI) in Los Angeles involve two things on the rise: PV installations in 2H10, and polysilicon pricing.


Solar Power International: Off to a good start
Oliver Janssen, CEO of eIQ Energy, blogs from this week's Solar Power International show in Los Angeles, where there's some buzz about a possible watershed moment for the balance-of-systems (BoS) sector of the solar industry.


Podcasts:

1MW installed solar power translates to 100-200 new jobs: A chat with Opel Solar
Opel Solar opens up about its wireless network controller, next-gen HCPV solar
BoS costs target of eIQ parallel system design
AZ schools gain 18MW solar power
Solar Frontier gears up for 1GW capacity every 2 years
Genesis of Sedona Energy Labs InteliTrack: Energy conservation and cost
Are revenue streams replacing incentives as PV project drivers?


Also visit the Photovoltaics World homepage at http://www.electroiq.com/index/photovoltaics.html to watch the video interviews with solar executives, posted from the tradeshow in Los Angeles.


Are you attending Solar Power International? Let us know what you think of the show, the speakers, the products, etc., in the Comments section.

Tuesday, October 12, 2010

WaferNEWS Watch: MEMC's 2x-coup, TI's 300mm power-play

Why did MEMC spike this week? New solar financing, analyst upgrades...and just maybe, hiring one of the industry's top Wall Street watchers. Also: Why strong third-quarter results can't hide the building stormclouds in memory and PCs. And what TI is planning next with its expanded 300mm capacity means a few sector players should batten down the hatches.


MEMC feted for funding, ferrets finance figure

MEMC gained about 14% over the week, partly thanks to a $60M financing commitment to its SunEdison business from JP Morgan, and subsequent brighter outlooks from Wall Street. Just maybe, though, investors know a smart personnel move when they see one -- such as hiring Deutsche Bank's Steve O'Rourke to steer its bizdev and investments. He's been one of the best Wall Street watchers for both semicap and solar, and we wish him all best.


Sunny before the WFE storm?

Speaking of Deutsche Bank, analyst Peter Kim took a hatchet to a number of top names (LRCX, NVLS, and KLAC downgraded to "Sell," VSEA down to "Hold," and AMAT maintained at Hold). Tea leaves for 3Q10 suggest solid results for most everyone, and more foundry spending is anticipated -- but Kim sees ominous bad juju in slumping (-≥20%) memory contract prices, which suggests oversupply that could dent equipment bookings, and ongoing sluggishness in PCs, with pre-3Q negativity radiating from key chipmakers Intel, AMD, and others. "While we don't expect leading chipmakers and top CapEx spenders to completely cut off spending, spending will slow meaningfully from the torrid 3Q pace as capacity outpaces end-market demand," he writes.

Credit Suisse's Satya Kumar, though, thinks KLIC's negativity not only was "not a surprise at all" given the gulf between Cu wirebond capacity and demand, but it also doesn't reflect on broader front-end business. "The trends for back-end and front-end are very different this cycle," he writes. "Back-end test is being driven mostly by analog IDM capex, a segment that thrives on buying used front-end equipment [...] Front end capex is being driven by the traditional NAND/DRAM/Foundry segments. The overlap is simply not as significant as it normally is."


TI's next plan for 300mm muscle

Credit Suisse's John Pitzer sees Texas Instruments flexing its new beefier 300mm muscles by expanding into lower-tier segments such as power management & MOSFETS and analog ASSPs more quickly than anticipated -- and that should sound the alarm for companies like Fairchild Semiconductor (69% exposure to such markets), On Semi (46%), and Intersil (45%). All that new $3.5B worth of 300mm capacity not only boosts margins -- but it could also give TI some flexibility to drive share through pricing or target those segments where GMs are quite a bit lower, he writes.

Thursday, October 7, 2010

Schwarzenegger talks solar photovoltaics vs oil power

ElectroIQ.com editor Debra Vogler recently attended a Schwarzenegger speech at the Commonwealth Club in Silicon Valley, CA. Governor Schwarzenegger took on deceptive oil companies in his speech. Here are some key quotes she pulled out from the event.

  • Schwarzenegger suggests oil companies spending millions on ads to overturn CA's carbon reduction law instead spend it on job training.

  • Schwarzenegger: "Each time we walk away [from clean energy], we as a nation become more and more vulnerable. We intend to win this battle!"

  • Schwarzenegger:"Get off fossil fuels. The bridge to the 21st century is all about the new energy."

  • Referring to the condition of Port of L. A., Schwarzenegger tells oil companies to clean up their act.

You can follow Debra Vogler on Twitter @ http://twitter.com/dvogler_PV_semi

Schwarzenegger was speaking around the fourth anniversary of AB 32, the CA comprehensive law to reduce greenhouse gas (GHG) emissions and boost economic growth.

Tuesday, October 5, 2010

WaferNEWS Watch: ASML bucks SCE slide, TI lifts AEHR

ASML is gaining significant share at Intel and Samsung and is set up to significantly outperform the chipmaking tool sector as a whole, reports one analyst. And Aehr Systems is bullish thanks in part to Texas Instruments rescue of a Spansion Japan facility.

ASML gaining share, bucking SCE's slide

Credit Suisse's Satya Kumar is still "constructive" on ASML, seeing around worries about weakness in PCs and DRAM price declines to ASML's shipment trends staying intact through mid-2011. Capital intensity needs to increase, he continues to say, and ASML is gaining share at key accounts: new orders at Intel in 2H10, and also from Toshiba for the first time in two years. Specifically he suggests 8-10 NXT orders from Intel in 2Q and another 8-10 coming in 2H10, increasing its share of Intel's semiconductor lithography spend to ~65% (up from ~40%).

That latter point about new orders is important, he writes in a research note, since ASML may realize orders later than some of its semiconductor equipment peers -- e.g. missing from its 2Q10 backlog were likely Intel 22nm Phase 2, Toshiba Y5, TSMC F15, Global Foundry US, IMFS, and Samsung L16. So while orders trend flat to down -10% in 4Q for the overall semiconductor equipment sector, he thinks ASML could see 8% Q/Q growth to a €1.4B backlog.

For those tracking EUV progress, Kumar thinks ASML will deliver six EUV tools by 2Q11, and recognize most of the revenue in the calendar year.


TI's Spansion buy: The wind beneath AEHR's wings

Among comments by Aehr Test Systems execs discussing their fiscal 1Q11 results was optimism regarding TI's takeover of Spansion Japan's wafer fab, which has "a good number of FOX-1 systems which have not been utilized to any significant extent during Spansion Japan's bankruptcy action," noted AEHR CFO Gary Larson. TI's pledge to extend testing services there through at least June 2012 means not only will get those tools get humming again, but a potential opportunity to sell more WaferPaks and upgrades.

Other tidbits from the conference call:

- Chairman/CEO Rhea Posedel noted ongoing work with a Taiwanese manufacturer for DDR3-DDR4 DRAM, but not much can be announced, as qualification has yet to occur.

- Prompted during the Q&A to pick a winner in the race for cash vs. revenue, Larson asserted that "we don't believe cash is a problem" for this year; that cash burn will decrease in F2Q11; and that inventory has increased so systems can be shipped "on very short notice" for a quick sales upside.


Foundries open wallets

New Taiwan foundry tool purchases, according to reported financial filings: ~$45M from TSMC, to AMAT ($24M) and KLAC ($21M), and UMC spending $17M for Semitool's tools.

Friday, October 1, 2010

September's split personality: thin film and c-Si PV top readers' lists

The top articles on ElectroIQ.com in September were both about solar photovoltaics, one stating that thin-film has been underestimated (following a period of overestimation), and one arguing that crystalline silicon (cSi) photovoltaics are challenging thin film's areas of dominance. Thin film is moving in on c-Si territory, while c-Si moves in on thin-film's sectors. Check out both, and make an informed verdict.

What thin-film PV has in common with Mark Twain
Rumors of thin-film PV technology's demise are exaggerated, writes Paula Mints from Navigant Consulting -- despite an uphill competitive battle with crystalline silicon, it does have a place in the future of multi-GW deployments.

Tier 2 rising: New Chinese c-Si firms challenging thin-film producers
Who's challenging the leading c-Si cell makers today? It's not the thin-film panel suppliers as expected, says Solarbuzz's Finlay Colville, taking the pulse from last week's EU PVSEC show -- it's Chinese Tier 2 c-Si cell makers.

Wednesday, September 29, 2010

Paula Mints addresses SEMA meeting on solar power

Frequent ElectroIQ.com contributor, Navigant Consulting director Paula Mints spoke before a Solar Engineering and Manufacturing Association (SEMA) meeting held recently at Flextronics in Milpitas, CA. She covered key successes and challenges in the photovoltaics industry. The drive to reduce costs, understanding supply and demand forecasts, and certain reliability issues all were included in her presentation. For our readers that did not get to see Mints speak in person, we've collected some of her most popular 2010 articles on the solar industry. If you attended the event, let us know what you thought of Mints' talk in the Comments section.

A solar toast: Nothing succeeds like success
Before the industry celebrates a banner year, industry stakeholders should take a moment to consider what out-of-control growth, high levels of inventory, and severely constrained margins might bring in the mid-term.

Analyst Paula Mints updates us on PV trends
In this video interview from Intersolar North America (July 2010), Mints summarizes the current state of the PV industry, including capacity, pricing, and quality news.

The PV industry black swan
A black swan event is an observed market behavior that performs in an unexpected manner. In terms of the PV industry, such expected unexpected behavior is seen in the not-so-rapid rise of supply control by two countries: China and Taiwan.

What thin-film PV has in common with Mark Twain
Rumors of thin-film PV technology's demise are exaggerated, writes Paula Mints from Navigant Consulting -- despite an uphill competitive battle with crystalline silicon, it does have a place in the future of multi-GW deployments.

FITs: The ecstasy and the agony
As Germany's FIT program demonstrates, incentives can propel the PV industry into a viable renewable energy source. But beware. Poorly administered, poorly designed, and even well-designed programs have created even more anxiety for the industry.

Tuesday, September 28, 2010

WaferNEWS Watch: How to fix FORM

An industry insider offers a prescription to fix what's been ailing probe card vendor FormFactor; two analysts explain why the market's just taking a breather, not heading down; and why subcomponent suppliers get no love from one analyst.

How to fix FORM

No question it's been a banner year for the semiconductor industry. But we've seen Formfactor on the losing side of our WaferNEWS Fab 50 stock watch several times in recent months, and after its latest news of exec swapping and manufacturing shufflings, we asked an industry watcher's take on what's ailing the probe card company. Part of the problem, we're told, is that FORM is backed into a corner; rival MJC has taken up to 70% share at Samsung, and after that FORM's biggest accounts are Elpida and Spansion. Meanwhile, memory probe card pricing has tanked. So with revenue opportunities shrinking, customer mix not ideal, facilities all over the world, and less money coming in, the company "needs to cut costs and fast," the source tells us, or "conceivably lose money throughout the entire DDR3 probe card cycle."

This watcher's prescription to fix FORM: 1) Rationalize all manufacturing at the Livermore site (closing Korea and Singapore shops), and get down to a ~$40M B/E Q run rate. 2) Define core customers and Tier 2 customers -- and focus all efforts on the former. 3) Tend to knitting until the next upcycle (1-3 years) -- or get FORM lean enough to sell.


It's a pause, not a downturn

After meeting with dozens of Asian companies throughout the technology supply chain, Credit Suisse analysts John Pitzer and Satya Kumar come away with two key messages:

-- It's a pause, not a downturn. In 1H10, PC units looked ready for 20% growth; now it looks more like 15%, with decelerating momentum and no companies bumping up forecasts causing alarm bells to ring, Pitzer writes in a research note. But semi inventory and wafer capacity are still well below 3Q08 levels, capex % is still quite low, and semi content in devices isn't going down.

-- Capex not falling off a cliff. Kumar thinks 2011 capex will be flattish vs. original 15% expectations, with particular weakness in memory, and the data points are a mix of weak (e.g. LED chips, retail NAND) and strong (tablets, smartphones). However, he sees another 13% capex bump in 2012, since capital intensity is still strong and growing. "We think 'the cycle' for SCE driven by emerging market penetration and product cycles (smartphone/tablet) is very much intact," he writes in his own research update. PC OEMs/ODMs have been doing a little better in the past few weeks, partly helped by Chinese price cuts and a new government rebate program ahead of the October Golden Week holiday period.

"We believe the normalization in end-demand we witnessed in late 3Q10, combined by the ongoing production normalization mid to upstream is fundamentally a healthy return to rational expectations," Kumar writes. There might be a pause in semiconductor capex in 4Q10-1Q11, but "when the dust settles, product cycles and emerging market penetration should sustain double digit end-market growth rates in 2010, 2011 and 2012, which will sustain an upward trend in capex through this period."


Party's over for subcomponent suppliers?

BoA/Merrill Lynch's Krish Sankar dropped the axe on his 2011 capex estimates, from +15 down to 0%/+5% (similar to Credit Suisse's Kumar above), hallmarked by lower spending among memory firms due to sluggish PC sales. But instead of offering optimism, he turns his blade toward component suppliers: AEIS and UTEK ("Neutral," reduced from "Buy") and ENTG and MKSI ("Underperform" from "Buy"). This sector enjoyed high revenue growth early in the market cycle thanks to rebuilding inventories, he writes, but "as the shipment run-rate slows down, the OEMs tend to draw down inventory thereby slowing the revenue growth for these suppliers."


Outlook concerns dent TSMC

Trading of TSMC shares was suspended for two minutes last Thursday, and ultimately lost nearly 3% of their value (bucking the weighted index) and topped all other stocks in turnover (106M shares), all on concerns that the current cycle has peaked and the foundry will feel a pinch in 4Q10, pulling back from full capacity utilization where it's been all year. Speculation that the foundry will inch up its 2011 capex to $6B may strike investors as a bit risky, according to an analyst.


Money for...something?

Investors liked a short filing by Photronics (PLAB) informing that it has amended its credit plan with lenders to increase the dollar amount from $20M to $30M.

Tuesday, September 21, 2010

WaferNEWS Watch: The problem of too much cash

Barclays' CJ Muse thinks it's time semiconductor companies rethink how they return cash to shareholders -- and he's got a way that makes everyone happy.


Too much cash? Try a variable dividend

Barclays' CJ Muse thinks it's time semiconductor companies rethink how they return cash to shareholders, given their cash positions. Net cash is currently ~15% of equipment companies' market cap -- but they have been hesitant to drive shareholder value through dividends or stock buybacks that could turn out ill-timed with market fluctuations. When times are good, firms are flush with cash; at other times they're afraid of being locked into fixed obligations during a downcycle. That hesitancy is reflected in investor's lack of support in valuations, too: "Investors do not believe managers, in general, are good shepherds of cash," he writes. "Too many times in the past we have seen dilutive acquisitions, too aggressive compensation, and poor business models that burn cash during the downturn."

But that corporate reluctance needs to change, Muse argues, since free cash flows are likely to continue through future cycles, thanks to "quiet share consolidation" (i.e., non-M&A) that will fuel better pricing and business models. Plus, semiconductor capital intensity is only going up, capex probably won't sink back to abysmal 2009 levels, and maneuvers including outsourcing and cost cutting will make companies more variable-cost in nature.

Muse's suggestion: implement a "variable-rate" dividend, where a payout occurs only if the company reports a quarterly GAAP net income in excess of the proposed dividend. That, presumably, would lead to beefier dividends during good times, and less risk for when markets soften. The alternative -- issuing rather small dividends today (1%-3% for some of the big names) -- "are just not enough to attract value investors," he says.

So what would the sector look like if such a plan were enacted 10 years ago? A number of top semi equipment stocks would have paid out dividends ~50% of the time (average dividend 2.5%), but without depleting cash reserves during bad times. And extrapolating into the future, he thinks average payouts would increase to 75%+ of the time -- "meaning the return of excess cash to investors will be a critical differentiator for equipment companies in the future." Those who might benefit most from such a plan? Logical names include LRCX, TER, KLAC, AMAT, and NVLS -- companies who already pay dividends, or have clean balance sheets, continue to cut costs/narrow focus, and aren't investing heavily in adjacent areas (e.g. solar, other tech hardware).


Takeaways from DB Tech conference

Among several sector presentations at last week's Deutsche Bank Technology Conference:

AMKR: Normal seasonal outlook for 2H10, said CFO Joanne Solomon, with weaker PC shipments somewhat offset by strength in consumer electronics, notably smart phones. The company sees an unchanged ~$500M in 2010 capex, though some peers are reporting customers slowing and pushing out tool shipments. In fact Amkor probably has lower capital intensity than large peers due to end-market mix and a less aggressive ramp in copper wire bonders -- and this should keep revenue growth on pace with others. Look for capital intensity to return to "a more normal 10%-14% level," and stronger cash flow, in 2011, O'Rourke writes.

AMAT: No new guidance, no changes to outlooks; "DRAM, NAND, foundry, and logic capacity ramp profiles have neither changed nor been a surprise." GM Randhir Thakur did acknowledge macroeconomic concerns ahead. Deutsche Bank analyst Stephen O'Rourke notes that a projected 4% gain in etch does not include any position at Intel (and LRCX isn't there either, meaning it's a TEL win). It's also gained share in mask inspection, though mainly from smaller firms (not KLAC).

ECD: No change to guidance from CEI Mark Morelli. Sept. quarter revenues will be down as expected, "but the overall trend is clearly up." Module pricing remains intact (current ASP is ~$2.05/Wp), and manufacturing costs should decline to $1.60/Wp at current production rates. Conversion efficiency goal is still 10% in 2011 and eventually 12%.

LRCX: CFO Ernie Maddock confirmed weaker semiconductor industry data points, but said that no customers had changed delivery schedules or overall spending plans. The company's latest efforts at wet clean "clearly gaining traction," and paired with its dry bevel clean technology the company "is poised to surpass the 50% market share point in overall etch" writes O'Rourke. "Lam has gotten past the label of being a single product company."

RTEC: CFO Steven Roth noted, but did not reiterate, current 3Q guidance and expectations for sequential growth in 4Q10, and echoed other industry sentiment that a robust spending cycle for backend will lead to "a digestion period" and slowdown. In fact, this appears to have already started, with some backend packaging/test outsource suppliers now pushing out tools, notes O'Rourke. With ~40% exposure to backend customers, Rudolph's near-term outlook could be "tempered." It's worth noting how much Rudolph has changed in the past few years, he adds: while markets for its frontend metrology business have underperformed (though notably a new win at a large DRAM/NAND chipmaker), most of its sales are now from new tools, and it's been active with M&A in backend inspection/analysis, segments heavy with use of leading-edge technologies including 3D packaging, flip chip/bump, through-silicon vias (TSV), and probe cards.

VSEA: No change to guidance from CFO Robert Halliday; fiscal 4Q10 (Sept. 2010) is tracking in-line and F1Q11 could be "incrementally positive." DRAM business is weakening as expected, but foundry is growing and NAND is expected to grow. Overall 2011 spending could be flat Y/Y, he suggested.


Notebook outlook: Cloudy, chance of rain?

FBR Research's Craig Berger says checks indicate PC inventory depletions in Asia are nearly over, with HP and Acer both at about four weeks (down from 6-7 in mid-July), though Dell is a bit behind. While sluggish consumer demand is still reported in the US and Europe and iPad seems to be stealing share from notebook PCs, chip firms should see "a slight business uptick as they transition from customer inventory de-stocking to shipping more in line with end consumption," he writes.

Speaking to notebooks, Ashok Kumar from Rodman & Renshaw sees a flat September for notebook shipments from top ODMs, following a weak back-to-school season, "lackluster demand from Europe," inventories plugging the channel, and the aforementioned iPad cannibalization. The December year-end quarter is still unnervingly murky, though early indications point to perhaps a -10% decline or more in shipments, as Acer, Dell, and HP cut back orders to ODMs.


Taking Toshiba to task

With demand for memory going nowhere but up, and robust demand for embedded NAND flash a good area to leverage technology advantages, why be down on Toshiba? Because, says Deutsche Bank's Takeo Miyamoto, the company's memory business has just 14% profit margins (based on guidance) while some competitors post 20% or more. And product mix issues, a strong yen, and startup R&D costs have hampered efforts to improve profitability -- which is vital, he says, for the company to accelerate its ROI in semiconductors where product cycles are so short.

Monday, September 20, 2010

What to do at ESC

ESC Boston will be held September 20-23, 2010, at the Hynes Convention Center in Boston. The show traditionally covers embedded systems design, but is not limited in scope. Here are a few presentations to catch this week.

Product cloning and intellectual property (IP) theft have become a global business, costing companies billions of dollars in lost revenue, says Jim Carver, technology specialist at Avnet Electronics Marketing Americas (EMA), a business region of Avnet Inc. (NYSE: AVT), who will speak about product protection. Carver’s presentation (Thursday, September 23, room 102, from 9:30 to 10:30 a.m.) will cover the current landscape of products available for IP protection, as well as the cost/benefit tradeoffs between various technologies. Attendees will also learn design techniques for implementing a sound security system that greatly limits exposure to risk.

element14, online design engineering community and electronics technology store, invites engineers attending ESC to register at Booth 1117 to win a standard edition of CadSoft’s EAGLE PCB design software. element14 is sponsoring the ESC Theater, which offers a series of technical presentations including live teardowns, as well as the Twitter Scavenger Hunt on September 22 (tweet @esc_hunt for signup and details). They are also donating an Altera Nios II embedded evaluation kit as one of the prizes.

ESC has also collected exhibitor highlights here, including Texas Instruments' announcements, spring-pin test sockets, and more.

If you're attending ESC, give us your impressions of the show in the Comments section.

Tuesday, September 14, 2010

WaferNEWS Watch: 2011 capex trends, wafers flat, EDA still chugging

This week, industry watchers map out the semiconductor capex scene for 2010 and 2011, who's spending how much and where; why MEMC is seeing flatness, but not enough to derail the train; and another reason to be positive about the EDA sector.


Barclays' CJ Muse looked at semiconductor capex trends for 2010-2011, and fine-tunes "where we want investors to be" at the point of a stock price trough. His conclusion is a handful of downgrades: CYMI, KLAC, BRKS, and LTXC (all from "Overweight" to "Equal Weight"), VRGY from "Equal weight" to "Underweight," and a host of price target reductions (AMAT, NVLS, MKSI, AEIS, AMKR, FORM). He pegs a trough "sometime in 4Q10" for many shares, citing "continuing deterioration in semi data points (i.e. DRAM pricing, downward semi earnings revisions) and expectation of equipment orders peaking.

Muse also offers a mea culpa: "In hindsight, clearly the right call would have been to downgrade the group in April following the end of the Fed's quantitative easing, specter of increased government regulations, weakening picture in China -- basically everything that has pressured global end demand," he writes.


Credit Suisse's Satya Kumar still sees MEMC "outperform"-ing the field, but his stance is a little softer after some "industry checks" suggest flat semiconductor wafer ASPs in 4Q10 (vs. 3% expectation) and 1Q11, and "flattish" solar wafer ASPs in 4Q10 -- mostly attributed to production adjustments and excess wafering capacity at SUMCO. "We think longer term semi wafer supply/demand is fundamentally OK, and still believe in 2011 semi companies will increase wafer starts faster than the pace at which wafering companies add capacity," he writes in a research note.


DA Davidson's Thomas Diffely continues to be bullish on the EDA sector, this time singling out Cadence, which he sees improving revenues 11%-12% in 2011, mainly through recent acquisitions and transition to a new business model. "Based on recent comments made by several EDA management teams, and supported by data points coming out of the industry, we believe strength in the core EDA market continues to build," he writes. His current view also calls for some customer attrition over the next few years as contracts come up for renewal, "largely at the hands of Synopsys."


Top share-price growth this week goes to NVMI, on news of two multimillion-dollar orders for its standalone optical CD metrology tools in Asia, from a foundry and a memory maker. Meanwhile, investors saw National Semiconductor's disappointing revenue update as their cue to head for the exits.