Monday, August 30, 2010

WaferNEWS Watch: Spotlight on VSEA; LAVA flows uphill

Barclays' CJ Muse points has a handful of key takeaways from Varian Semi. Equip. Associates' Aug. 19 analyst day, which he says collectively point to the company outpacing the rest of the wafer-fab equipment sector:

  • Still optimistic about 2H10. Management sees broad-based strength in memory and foundries; the company plans nine tool shipments in the September quarter and says December revenues may surpass the prior peak of $299M seen three years ago. "Varian remains one of the key names where we believe risk to numbers is to the upside in the coming quarters," he writes.

  • Core business, and adjacent growth. Management targets 30% operating margins for its core business and increasing total noncore markets served (materials, solar, other)
    by >$1B. VSEA hopes to ship a Solion tool in 1H11 to new customers.

  • Widening the gap in implant. Ongoing shrinks provide more challenges in leading-edge chipmaking: ballistic particles, gate resistance, short channel effects, leakage/damage recovery, and tighter process windows -- and things will continue to get harder. Look for Varian to grow its 75% in core implant in 2009 to 78%-79% in 2010 and possibly more, Muse says, with focus in Japan (>50% of the market) and Taiwan (85%-90%). A multisystem win by Axcelis at Samsung likely represents an unsurprising second-sourcing move rather than a shift in tooling strategies, Muse notes.
    Plasma doping (PLAD) technology has found a home in DRAM, where it eliminates a litho step in dual poly gate (DPG) -- "Samsung has nearly fully adopted PLAD for DPG," Muse says -- and should top $85M of VSEA's sales in 2010, from zero in 2006. Future growth is seen coming from expanded use in DRAM (p-type counterdoping to reduce contact resistance, n-type poly gate doping) plus logic and NAND flash (floating gate doping or surface level contact resistance doping). VSEA's first recognized revenues for a logic PLAD tool should happen after April shipment, with projected $60M in revenues outside of dual poly gate DRAM.

  • Implant intensity is growing. Implant steps for foundries increases 2.4×-2.6× going from 6Xnm/4Xnm to 2Xmn nodes, and each step takes longer; drive current leakage and contact resistance are key problems to overcome. Muse sees implant staying at ~4% of total wafer-fab equipment spending this year, and probably growing from there, led by enhancements/add-ons including co-implants.

  • Robust IP, new products. VSEA's bread and butter is in precisely modifying a material's electrical/physical properties, so its core IP is in implant, silicon processing, and platform engineering. That spells business and growth opportunities both inside and outside the semiconductor sector: isolating electrical properties and changing crystallinity (semiconductors, strained silicon, SOI, LEDs), changing reflectivity/refractivity (solar, optics), modifying mechanical properties (e.g. MEMS), seed materials (graphene and carbon nanotubes), and pacification (solar and LED).
    Looking specifically at the solar sector...the company's first production Solion tool should be shipped sometime in the next month, plus demos at multiple customers in 1Q11; and the company has "a roadmap for multiple generation tool improvements/ enhancements over the next decade," Muse writes. For c-Si solar firms, Varian can enable higher cell efficiency (19.5%, with >22% on the roadmap) and lower manufacturing cost/W, buy reducing the number of process steps and improving uniformity. Future plans include implanting boron which will enable an n-type solution (which can improve cell efficiency by 2%), and ultimately target the interdigitated backside contact cell (adding >4% improvement in cell efficiency). Muse runs down the tool shipment plans for CY10: 6-9 beta tools, three for p-type (~$9M), six for n-type ($18M), and nine for IBC ($27M). The company is targeting $25M-$30M in solar sales for 2011 (Muse thinks $40M is possible) and $100M-$300M for 2012.


Carl Icahn apparently has again raised his ownership stake in Mentor Graphics, now achingly close to the 15% threshold trigger of the company's June 24 "poison pill" amendment, which was viewed as a preemptory response to just such a move.


Like so many others in the industry, Magma Design topped estimates in its fiscal 1Q11 (July-ending) quarter and raised its outlook for the next quarter and full-year, citing improved visibility; management sees 25% growth for calendar 2010, with more activity at leading-edge nodes. DA Davidson's Tom Diffely points to management's confidence in the pipeline (FY11 order outlook is >$160M) and generally "bullish view of the EDA market." He's also tweaked up his expectations for FY12 sales (to $152.5M, 14%-17% growth) and EPS (adding a nickel to $0.38).

What analysts say about Intel's Infineon wireless buy

Intel (INTC) and Infineon (IFNNY) announced today that Intel will buy Infineon's Wireless Solutions Business. According to Craig Berger CFA, CPA of FBR Capital Markets, Intel feels compelled to build out its mobile product suite, including ARM baseband processors for handsets, smartphones, tablets, and other mobile devices. This brings up the question of Intel and Samsung's competition for world chip domination, as noted by IC Insights. Will the buy strengthen Intel's ARM sales, knocking Samsung out of the sector, or will integration distract Intel, allowing Samsung to gain ground? In-Stat notes that, with all the push for SoC advances, the SoC industry will see contraction in the next 5 years.


The wireless chip business sale's main points:

  • Intel will pay about $1.4 billion cash for the unit
  • Infineon will concentrate future resources toward Automotive (ATV), Industrial & Multimarket (IMM) and Chip Card & Security (CCS)
  • WLS provides baseband processors, RF transceivers, power management integrated circuits (ICs), additional connectivity features, single-chip solutions as well as the corresponding system software
  • Intel plans to run the wireless chip provider as a stand-alone unit
  • Infineon's wireless customers include Nokia, Samsung, LG, and Apple, among others

Read all the acquisition details in Intel buying Infineon wireless business.

Berger points out that, for Intel, execution risks loom. Go beyond the core Intel Architecture CPU markets, and Intel can get distracted. Berger is going to "generally remain skeptical of Intel's ability to execute outside of core CPU market." If Intel fails to capitalize, Broadcom could benefit, scooping up some of the cellular-broadband market share left on the table.

On the positive side, Infineon's WSL is a well-executing, sizable presence in the cellular baseband market. Berger notes that Infineon's 65nm HSUPA platform (XMM 6160), upcoming 40nm HSPA platform (XMM 6260), and "decent" 4G LTE products are competitive in the semiconductor market. Not to mention, smartphones and tablet PCs are increasingly popular with consumers. Intel can defend its CPU market share as tablets ramp by offering the Infineon baseband, the power Atom applications processor, the WindRiver mobile operating system, and also on-system mobile security from its pending McAfee acquisition, Berger states.


As noted in recent analysis by IC Insights, Samsung to unseat Intel in chip sales in 4 years, Samsung has "crossed into Intel's turf by supplying ARM-based application processors that compete with Intel's Atom chips in smartphone designs." IC Insights expects Samsung to overtake Intel in chip sales. It is too soon to tell how Intel's acquisitions will bolster or burden the company in various semiconductor supply areas.

This is Intel’s third acquisition in as many weeks targeting technology for CE devices (The cable modem assets from Texas Instruments and the complete acquisition of security software vendor McAfee round it out). More than the products that will likely result from these acquisitions, these purchases clearly signal Intel’s intention to develop products for CE markets over the next 5 years, notes In-Stat. Acquiring these technologies means that Intel has more of the critical pieces required to develop SoCs for a broad variety of CE devices ranging from set-top and digital TV boxes to handsets and tablets. Now, Intel will be able to have multi-modal modem solutions integrated into Atom-based SoCs to support all the technologies throughout this crossover period where 2G, 3G, and 4G networks co-exist.

In-Stat also believes that the increased rate of hardware integration and competition will lead to future contraction in the number of high-end SoC vendors in the market over the next five years. Jim McGregor submitted these findings and is chief technology strategist at In-Stat.

Visit our Semiconductors Center for more news and technical articles.

Tuesday, August 24, 2010

AMAT announces FCVD product for C-free gap fill

We've been waiting on Applied Materials' new product announcement today: a new flow chemical vapor deposition (FCVD) product for Eterna that aims to replace spin-on dielectric (SOD) films as those films’ extendibility to advanced nodes is being questioned. In particular, Applied is targeting 3D memory architectures, which are in solid-state drives (SSDs) that are used in products such as iPads, netbooks, and even server farms.

ElectroIQ.com's Debra Vogler spoke with AMAT about the new product in this podcast interview.

Read the analyst takes on AMAT's flow CVD at What's inside Applied Materials' flowable CVD tool?

Stay tuned for more information, including the analysts' takes, on http://www.electroiq.com/

Applied Materials plans major announcement today

Applied Materials (AMAT) is a dominant supplier to the semiconductor, packaging, and solar photovoltaics (PV) manufacturing industries. So when AMAT sent around a press release saying that they had big news about chip manufacturing under their hat, we paid attention.

Look to www.ElectroIQ.com/index/Semiconductors.html when the news is announced this afternoon for a podcast interview with AMAT, news coverage, and more.

Lately, Applied Materials has curbed its involvement in one area: thin film photovoltaics. Earlier this year, AMAT shifted funding from the Sunfab project to silicon photovoltaics (c-Si) and LEDs.

Just last week, AMAT's Q32010 numbers were poured over by analysts, and yesterday, Applied Ventures announced an equity stake in a company called BT Imaging (BTi).

Check back to www.electroiq.com this afternoon for AMAT's latest press.

Thursday, August 19, 2010

PV supply chain, costs face changes in Q3

Photovoltaic module production costs are going down, but raw materials costs are rising. What does this mean for the solar module sector, which encompasses the silicon or thin film PV panel and external components like the inverter? Photovoltaics World's top article this week deals with changes in the solar module landscape.

In his article, "What's happening with PV module costs, pricing?" IMS Research analyst Sam Wilkinson says higher materials costs, particularly for Si photovoltaic wafers, are to be expected in Q3 2010. "That will give some advantage back to thin-film PV players (like First Solar) and slow the Si PV cost-reduction slide, at least temporarily."

Wilkinson also states that factory-gate selling prices are declining, though exchange-rate differences eliminate this effect at the end of the supply chain.

The point about Europe in this article -- Europe accounted for 82% of PV installations in 2Q10 -- stands in stark contrast to "traditional" building materials trends. Holcim, major cement supplier, blamed weakness in the European market for much of its stock decline and weak sales (Bloomberg BusinessWeek reports that Holcim dropped the most it has since March 30, 2009.)

Companies mentionned in Wilkinson's article include First Solar (FSLR: Mixed results, cost/W takes another dive, 2009 modules: First Solar tops, Japan flops) and Solarfun (Chinese Solarfun finds new distributor in Japan, Solarfun sees strategic investment from Hanwha Chemical).

Read What's happening with PV module costs, pricing? here.

Tuesday, August 17, 2010

Gallium arsenide ICs: Malaysia's chip-making future?

Malaysia has been hosting the The Asia Symposium on Quality Electronic Design (asQED http://www.asqed.com/) earlier in August, and recently, International Society For Quality Electronic Design (ISQED) chairman Dr Ali Iranmanesh made the case for gallium arsenide (GaAs) chip manufacturing in the country. Speaking to David Tan of The Star in Malaysia (http://thestar.com.my/), Iranmanesh said that "cost over US$210mil to design and manufacture the gallium arsenide chips, compared with a couple of billion US dollars to make silicon chips."

Gallium arsenide chips can be sold at a 10% higher cost than traditional silicon chips, he said in the interview. Read the full story on the Star's website.

Malaysia isn't the only global region looking at gallium arsenide. Earlier this month, ElectroIQ.com reported that a Europe-wide consortium has taken up the challenge of making a significant impact on the power consumption of telecommunications and data networks, via new semiconductor materials. For more information, read Euro consortium targets telecom energy reductions via semiconductor material efficiencies

Monday, August 16, 2010

WaferNEWS Watch: AMAT preview, AE's CFO swap, RTEC's 'dynamic yield'

AMAT preview: What's happened since SEMICON West?

Ahead of AMAT's July quarter results (fiscal 3Q10) on Weds. 8/18, analysts are plugging in their estimates about what the chip tool giant will say.

Credit Suisse's Satya Kumar expects "a more cautious tone" than the "extremely bullish" one it had at the industry's July fete, where most attitudes were overwhelmingly upbeat. PC demand slowed in the past three months, reflected in some weaker business from chip designers and foundries (and Cisco's underperforming demand isn't a good sign), he notes. Look for AMAT to push out some equipment, "a modest concern" (though AMAT generally pulls orders into its October quarter anyway...which could "leave a hole" in the January quarter). Business during the quarter is driven by UMC, Toshiba, Intel, and Samsung, and foundries "continue to ramp and are buying for capacity," he writes -- adding that signs of slowing orders at TSMC could be offset by UMC's recent capex ramp-up where AMAT has "high market share." Outlook: 3Q10 overall sales up ~5% to $2.4B, the high end of guidance; SSG sales up ~2%-3%, EES (solar) up ~25%, and FPD down -22%.

Barclays' CJ Muse also expects a "softening [of] its uber-bullish tone" from SEMICON West, "given recent macro concerns." He's roughly in line with the company's 3Q projections ($2.35B.), with a targeted focus in three areas: total/silicon group orders; tightening its EEG focus to crystalline-silicon solar (and not LEDs); and more restructuring, though with little disclosure on details. July quarter SSG orders were about flat (and would have declined without Semitool business), he points out. He also agrees that mainstays TSMC and Samsung are driving business (and Samsung's foundry biz will pick up next quarter), with DRAM firms taking a breather; this will widen to about 5-6 companies in coming quarters (adding Intel, Nanya/Inotera, Toshiba, and GlobalFoundries). The need for, and availability delays of, immersion lithography tools will keep everyone's orders strong throughout the year, reflecting what the company still sees as a "multiyear up cycle." Muse also invokes SSDs as a demand driver in PC tablets, which will require more new (greenfield) NAND fabs in 2011.

Things Muse says are "on the drawing board" at AMAT: going after "lower-hanging fruit" such as CMP consumables and HKMG using ALD (to get Intel business from ASMI). And in solar, he sees AMAT's refocus on c-Si as targeting a new breakeven of $700M and 10% operating margins. "In the best of circumstances, crystalline silicon related sales could perhaps earn $800M, so EES will likely have a positive contribution as long as Chinese c-Si orders hold up."

For a cheat-sheet, Muse offers up the following likely AMAT 3Q10 call scenario:

1) Order sustainability, nowhere-near-4Q08 doom/gloom despite PC concerns;
2) Any competitive wins in electroplating, 3D TSV, etch, and inspection;
3) Turning to solar, increasing lead-times for c-Si tool shipments, and completion of (what's left of) SunFab projects;
4) In LEDs, progress in 6+ beta sites (IMEC, TSMC, Samsung, Toshiba, Micron, AUO -- "largely semiconductor behemoths that want to diversify," Muse points out).


AEIS: Much adieu about nothing

Few things get investors in a sour mood more quickly/deeply than a sudden change in high-ranking finance execs -- and so it was for Advanced Energy, when it announced on Aug.11 that CFO Larry Firestone was stepping aside "to pursue other opportunities." (AEIS stock has sunk by around -10% since the news broke.) But fret not, says Barclays' CJ Muse -- rather than a warning flag of anything structurally wrong with the company, it's part of an "in-transition" management structure diversification from a semiconductor capital equipment company to "a renewable energy grid player" highlighted by its addition of PV Powered and slough of its mass-flow controller (MFC) business. "The tailwind of WW inverter shortage along with our checks that suggest continuing smooth OEM relationships, seem to imply no red flags connected with this departure," he soothes. (And in fact AE has already filled the void with a new CFO.)


RTEC's: A better fit for Yield Dynamics

Deutsche Bank's Peter Kim views Rudolph Technologies' takeover of MKS Instruments' Yield Dynamics business as beefing up its process control and yield management capabilities, similar to last year's buy of Advanta Control Technologies. "Data mining/management software may be a better fit with inspection/metrology equipment suppliers like Rudolph," he writes, and combined with Advanta "could be a meaningful software revenue stream over time, and help push gross margins closer to the company's 52%-54% long-term target.

1-bit symphony plays music, not recording, via circuitry

Tristan Perich's 1-Bit Symphony is an electronic composition in five movements on a single microchip. This silicon-based symphony literally performs music live when turned on. A complete electronic circuit -- programmed by the artist and assembled by hand -- plays the music.

While the sound takes some getting used to (NPR's Weekend All Things Considered host Guy Raz was not an instant fan when the 1-Bit Symphony got a review during his program), it is an interesting blend of computing and art, using the electrical pulses that are the foundation of electronics. Rather than powering a sophisticated music player, the electrical pulses are the music.

Check out the design at http://www.1bitsymphony.com/

Wednesday, August 11, 2010

Call for abstracts

If you have an idea for an article on "the next switch," please send me an abstract (debrav@pennwell.com) as soon as possible - no later than 9/15/10. Thanks!

OSAT changes, investor outlooks, and Intel rumors

A pre-farewell to longtime Kulicke & Soffa chief C. Scott Kulicke who's retiring at the end of September, a bit ahead of schedule. The Street, however, might be more fleeting with its show of appreciation. Bank of America/Merrill Lynch's Krish Sankar lowered his rating on KLIC to Underperform from Buy, suggesting current high-flying business will be "difficult to sustain" into 2011 as capex trends, particularly backend/OSATs, winds down.

A tale of two investment reactions. One in the positive, responding to NANO's smashing of estimates and subsequent comments, including that Hynix now contributes >10% of sales. VECO, on the other hand, took it on the chin on word of a rumored "digestion period" among LCD makers, which may increase reliance on subsidy-driven Chinese suppliers.

This week's so-crazy-it-just-might-work rumor: FTC's reported settlement with Intel has a key provision that would modify its IP deal with Taiwan's Via Technologies, possibly allowing other partnerships -- or even a JV or outright acquisition of the x86 firm should anyone be bold enough to want to toe up to the chip giant. "There are a lot of easier things you can do in this world than compete with Intel in microprocessors," muses Barrons' Eric Savitz, "but there might a company or two out there that is crazy enough to try."


Market Diary: Most Active Issues ADVANCES: 20
DECLINES: 21
UNCHANGED: 7
NEW HIGHS: 6
NEW LOWS: 1


LARGEST DOLLAR GAINER: Nanometrics Inc. with 3.67 (39.46%)

LARGEST DOLLAR LOSER: Veeco Instruments Inc. at -7.93 (-17.58%)

Subscribe to WaferNEWS to get the weekly financial and investment updates for semiconductor manufacturing suppliers and producers emailed in an e-Newsletter format. Subscribe here: http://www.electroiq.com/index/Semiconductors/subscribe-sst.html#a

--James Montgomery, news editor, compiled this data

Monday, August 2, 2010

SEMICON West meeting, speaker 2: Pete Singer on semiconductor fab trends

Editor in chief Pete Singer introduced technology trends in semiconductors at the ElectroIQ.com breakfast meeting held during SEMICON West. Following are some of the key points and slides from his talk.

The semiconductor industry continues to push to smaller dimensions to gain more functionality in a smaller space. There’s also a drive to increase transistor performance and speed, while also reducing energy consumption. The requires more complicated transistor structures, such as FinFETS, and the use of new materials. 3D integration and advanced packaging strategies (such as package on package) are also seen as another way to put more functionality into a smaller space.





The conventional wisdom is that semiconductor scaling will continue at the traditional pace defined by Moore’s Law well into the future. 32nm devices are now in volume production and the industry is on track to move to the 22nm node in 2011. That will be followed by 15nm in the 2014-15 timeframe and the 11nm node in 2017-18. Further scaling to 8 and 5nm nodes will occur beyond 2020, perhaps enabled by silicon nanowires or other nanotechnology. What could derail this plan is the lack of a suitable lithographic toolset, but most people that EUV will be ready in time. What’s not clear is how the development of next generation technology will be funded. Equipment and materials suppliers have had to “reset” their R&D spending due to the financial crisis, so it’s likely that consortia and joint development activities will take on greater importance moving forward. At the same time, while some companies such as Intel are expected to relentlessly continue scaling, analysts are predicting a bifurcation in the industry where the majority of companies will be able to use older, larger-dimension technology to successfully meet market requirements.




It should be noted that new technologies are not necessarily more expensive. Research is clearly focused on finding lower cost solutions. Although EUV now appears to be the technology of choice for semiconductor lithography, despite its high cost and need for further development of mask and inspection techniques, a group of researchers are working to make multiple-column e-beam (MEB) lithography a reality. Similarly, plasma doping is less expensive that traditional ion implant and provides better device performance as well.



While scaling is often referred to as "More Moore," the term “More than Moore” has also come into vogue to describe this trend which goes beyond simple scaling. MEMS that integrate a microcontroller, sensor, energy harvesting devices and wireless networking are perhaps the best examples. “Functions initially fulfilled by non-CMOS dedicated technologies may eventually be integrated onto a CMOS SoC, using mixed technologies derived from core CMOS,” notes the ITRS. “Consequently, the partitioning of system-level functions between and within SoC and SiP is likely to be dynamic over time. This will require innovations in cross-disciplinary fields, such as nano-electronics, nano-thermomechanics, nano-biology, extremely parallel software, etc.”


Also check out IC Insights analyst Bill McClean's talk from the ElectroIQ.com breakfast here: http://www.electroiq.com/index/blogs/eiq-blog-display/blogs/electroiq-blogs/eiqblog/post987_1430972338945967689.html

Email Pete Singer at psinger@pennwell.com to view slide and get more in-depth information on his talk.