Wednesday, September 28, 2011

The first 450mm clubhouse

The long-discussed and controversial 450mm wafer-size transition got a significant reality check with five of the biggest global chipmakers putting their skin in the game. 450mm's Original Three (Intel, Samsung, and TSMC), now joined by IBM and GlobalFoundries creating a "Global 450 Consortium", say they will spend $4.4B over the next five years for two chipmaking development projects spanning several sites in New York State. A big chunk of that will go toward proving out 450mm manufacturing work, that ultimately "may facilitate the possibility of building a 450mm plant in New York state" (note the double-noncommittal). The rest of the money will go toward 20nm/14nnm development work for IBM and partners.

This story is still taking shape, and we're still talking with sources to fill out the entire picture, but here's what we know so far (and we'll keep updating as we learn more):

-- Who's doing what? No details were provided about how the $4.4B is being split up: 1) between the 450mm work and IBM et al.'s 20nm/14nm development, or 2) among individual 450mm participants. All of the stated destinations in NY State for the investments are facilities owned by CNSE (Albany Nanotech, Canandaigua), IBM (Yorktown Heights and East Fishkill), or SUNY (Utica). CNSE will get $400M from the state over five years, including $100M for "energy efficiency and low-cost energy allowance."

Slides from CNSE execs over the past few months show a new "Nanofab" complex (dubbed "X" or "West") on the drawing board, with proposed 450K sq. ft. footprint including 45K sq. ft for cleanrooms. That's almost twice the size of CNSE's two major sites across the street: two-year-old Nanofab East, and six-year-old Nanofab North (home to CNSE's EUV alpha tool). This could be where the 450mm work takes place; we'll be talking with CNSE tomorrow (Weds. 9/28) for more information.

That $4.4B won't answer every 450mm production question, but equipment will be installed and "everyone will get to kick the tires, work on how to improve productivity, and jointly develop what the 450mm equipment should look like," explained Gartner research VP Dean Freeman. "It might be enough for the initial C&F to be done and prove out some of the economics." Specific goals will be to "learn things about how to boost plasma densities, improve wafer thickness uniformity, and improve system throughput of the area based equipment," he noted.

-- What about existing 450mm pilot-line efforts? Earlier this year, TSMC's Morris Chang
was very clear about the foundry's 450mm plans: a pilot line at Fab 12 Phase VI starting with 20nm process technology, timed around 2013/2014, and a production line following around 2015/2016, a TSMC spokesperson reiterated that timeline to SST (adding that the production line would be in Fab 15). It is still unclear whether TSMC would continue to pursue its own in-house 450mm pilot line at the same time as this new group in New York. [Update 9/29: That same TSMC spokesperson pointed out that the Albany 450mm line will be consortium-run and is not considered a TSMC line, but said "maybe the timing will coincide with each other, or be very close."] Also note that Intel says it will make this its "450mm East Coast Headquarters," a qualification that implies a future West Coast operation too. The company's D1X fab on the West Coast, which was said to be built with 450mm in mind, probably will be for final work, not initial pilot-line stuff.

That said, more than likely this 450mm pilot line will go the way all such technology partnerships go: frenemies working together precompetitively, then taking the technologies back home to tinker for their own production. "While Intel will have folks on the East Coast, you can bet when the time comes you will see D1X with some 450mm equipment," said Freeman. Expect the same for TSMC, Samsung, and apparently GlobalFoundries and even IBM.

-- Who's missing? Note the "Global 450 Consortium" is comprised of private funds, and makes no mention of actual industry "consortia." IMEC's 300mm cleanroom
was upgraded to be "450mm-ready" -- if this new 450mm thrust is chipmaker-only, where does that leave IMEC's efforts? "Companies that are not invited to the consortia party, will need to find a place to do the 450mm development work; that place will likely be IMEC," noted Freeman. The EU seeing 450mm as a possible route to become competitive in the semiconductor industry again, and "there is a lot of advanced transistor work that needs to take place," he added.

[Updated 9/29: ] SEMATECH/ISMI had been ramping its own early-work 450mm program, and
this year ISMI received approval for NY state funding for 450mm development at Albany CNSE. At this summer's SEMICON West it said 10 tools were either installed or on order, and that "pilot line evaluations show 'low risk.'" Some 450mm equipment already in place at Albany CNSE are tools for lot sorting, wet cleans (a SSEC 3400 tool directly in front of the NanoFab North cleanroom viewing hallway), bare wafer defect detection, wafer edge inspection, and FOUP washing. "Our program is now a part of the new initiative, which will build on and expand our efforts," a SEMATECH spokesperson said, but noted that the actual location of 450mm tools hasn't been determined.

The aforementioned production gains from 450mm also would be very attractive given the relentless cost pressures and volumes required in DRAM. Freeman expressed surprise "that Toshiba is not yet a part of this" 450mm consortium. (Also not mentioned: Hynix or Elpida/Rexchip.)

-- What's the 450mm timeline now? 450mm has been an industry hot-button topic for years, with progress slowly picking up momentum: SEMI's already done most of the standards work, and many equipment makers are finally coming out with "450mm tools" (some simple retrofits, some far more complicated), including pledges of millions of dollars for 450mm tool development much of which could be for continued feasibility studies. Originally the Intel/TSMC/Samsung triumverate
wanted a 450mm pilot line by 2012, but almost certainly that won't happen now, and this new consortium's five-year pledge suggests as much, and even TSMC's proposed timeline looks a bit optimistic at this point. Gartner research VP Bob Johnson predicts prototype process tools might be installed sometime in 2012, with functional processes two years after that and an official pilot line in ~2015, then another two years for "the first real production" on 450mm wafers in 2017-2019.

The problems for 450mm remain twofold:
uncertain returns and competing priorities. Chipmakers believe that 450mm wafer manufacturing is their next big stepdown cost reduction (~30%). Equipment makers bellyached about 450mm, remembering their extended and not-so-profitable 200mm-to-300mm transition (and they're hesitant to now spend lots of R&D for a technology that will basically shrink their own market by 30%), but lately they have been more publicly supportive of it. An actual 450 pilot line, with tools configured in a manufacturing environment and producing patterned 450mm wafers, will go a long way toward getting everyone on the same page about what to expect.

But the industry arguably has other important technology concerns besides the economics-based 450mm transition. Leading-edge chipmakers have managed to keep extending optical lithography with immersion, multiple patterning, etc., but the next year or two likely will see the (attempted) introduction of EUV litho into manufacturing, and possibly other next-gen litho technologies, mixed as-needed with other flavors of optical litho. And note that a 2015 450mm pilot line coincides with what is expected to be the 10nm node at leading edge, and 2017-2019 could be 7nm or even 5nm. How many more nodes will conventional silicon continue to be viable, necessitating any of a range of alternatives, from known materials to exotic ones (e.g. graphene or nanotubes)? -- J.M.

Friday, September 23, 2011

Update from Asia: Six key trends in semiconductor demand and capex

Barclays analyst CJ Muse summarizes recent visits across Asia's IT supply chain. Among his list of key themes: End-markets are still soft but stabilizing; capex is stabilizing too, with help from foundries and NAND; LCDs and LEDs are still in the doldrums; and an early Black Friday tipoff.

Semiconductor end-markets stabilizing: Semiconductor end-market trends remain still positive for emerging markets (e.g. BRIC, particularly China/Brazil/Russia), offsetting persistent weakness in the US and Europe. This trend holds for PC demand as well. "Longer-term, it is clearly difficult to get excited about the category (as corporate refresh appears to be peaking), but near-term we do see stabilization as a positive," Muse writes.

Outside of traditional computing platforms, Apple is driving strength in smartphones and tablets in all regions; competitors' buzz is "much more subdued, suggesting expectations have become more realistic and appropriate." ODMs also see potential in Intel's new category of "ultrabooks"; ODMs would prefer to stick with Intel x86 over ARM for these devices citing reliability, but the ASP difference might become a factor, he says.

Overall, Muse maintains his outlook for 4% growth in semiconductors in 2011, and 7%-8% in 2012.

Capex bottom in 4Q11: Signs are mixed for semiconductor manufacturing capital spending, Muse says. "Chipmakers are clearly hesitant to add new capacity" given the current environment. However, NAND is still comparably strong (tracking flattish), foundry will be spending to 28nm (see next point below), and overall capital intensity is increasing due to complexity of node shrinks. Citing Samsung timing and demand uncertainty, Muse sees orders remaining "muted" through 4Q11 -- but look for signs of life in early 2012 starting with foundries and NAND, offsetting a possible cut in Intel's spending. "We do have high conviction of an order recovery in the next two quarters," Muse writes, projecting $29B-$30B in wafer-fab equipment spending in 2011, followed by $27B-$29B in 2012.

Foundries stepping up at 32/28nm: Foundries have yanked back their spending in 2H11 as they still digest inventory through 3Q11 (longer than expected) and visibility is limited, but at least 4Q11 internal forecasts, which were conservative, haven't been changed so things apparently aren't seen getting any worse. But foundries should start spending again soon if only to keep up with their customers' 32nm/28nm roadmap requirements. Muse points to a 50% increased capital intensity for 28nm vs. 40nm, with cost per 1K of capacity rising from $60M at 40nm to $100M at 32/28nm. Don't mistake, spending will still decline, but "only modestly," maybe 5%-10%, Muse says.

Look for spending driven by Taiwan foundries (TSMC adding ~45k capacity/~$4.5B capex for 28nm alone, plus up to $1.5B for 40nm/maintenance), plus Samsung LSI (keeping Apple's A5 and A6 business), GlobalFoundries (ramping Malta fab), and UMC (still in the $1B club). Total projected 2012 spending for those four would be -7%, "significantly better than much more bearish views," he points out.

Memory firms cutting out: DRAM prices have been plunging for a long time now, but seem to have bottomed out in recent weeks. Meanwhile, DRAM makers have been busy, taking as much as ~12% of their capacity offline, Muse notes. That encompasses production cuts in Taiwan and Japan (Muse calculates 50K /WSPM at Powerchip, 30K WSPM at Rexchip, 50K at Nanya/Inotera, and 30K-40K at Elpida) plus conversion to specialty DRAM by Korean memory firms. However, as PC end-market data points show stabilization, and supplies respond to the production cuts (should take a month or so), "we are near-term bullish on the DRAM cycle," Muse writes.

NAND suppliers, meanwhile, are better than their DRAM brethren, enjoying demand driven by smartphones/tablets and (building, eventually) demand for solid-state drives. Apple is on pace to soak up around 30% of the entire NAND flash market in 2011, he notes. Meanwhile, firms are rapidly approaching more complex sub-2Xnm node manufacturing. Overall look for slowing bit growth (Hynix sees 80% in 2011 and 2012, Samsung sees mid-70% and 70% in 2012).

Weak LCDs, and a Black Friday tip: LCD demand is weak too, with utilization rates hovering around 80%, and panel prices are seen down in August and September; shipments are tracking in the low-single digit growth, not enough to stave off inventory buildups. Assuming 4Q11 is below seasonal norms, utilization rates probably won't improve. On the supply side, capex cutbacks and capacity pushouts (e.g. China) suggests a wait-and-see approach heading into early 2012.

How desperate are LCD suppliers to stimulate demand? As a "Black Friday" preview nugget, Muse says he heard about 32" LCD TVs being priced as low as $99 -- well below the $120 panel price.

No help for LED drought: LED firms are feeling very uneasy, too -- sentiment from "a handful of LED players" was "decidedly negative," Muse reports. Component pricing is tracking down in the high single digits for both 3Q11 and 4Q11, and factory utilization has sunk to 50% and even lower. Many suppliers indicate no new MOCVD capacity in 2012; one vendor told Muse that Chinese vendors are selling excess equipment into the used market. "We just don't see a recovery here anytime soon, and [...] 2012 demand could prove to be extremely low," he writes. -- J.M.

Tuesday, September 20, 2011

What the IMF's new GDP forecasts mean for semis

Today the International Monetary Fund decided the entire planet's economic recovery is slower than thought, particularly in developed regions, so it's trimmed (and in some cases slashed) its GDP outlooks for both 2011 and 2012.

"The global economy is in a dangerous new phase. Global activity has weakened and become more uneven, confidence has fallen sharply recently, and downside risks are growing," said the IMF said in its just-updated 2011 World Economic Outlook (WEO).

Overall global GDP is still seen at 4.0% in both years, but there's a gulf between the "Advanced" and "Emerging" economies. The IMF sees just 1.6% growth this year for the former, vs. 6.4% for the latter. In 2012 it's not much different, 1.9% vs. 6.1%. And within those anemic numbers are dark clouds for the US economic picture. Back in June the IMF saw 2.5% growth in 2011 and rising to 2.7% in 2012 -- now it's slashed those outlooks to just 1.6% and 1.9%. Other nations in this category (e.g. Euro, UK, Canada) have their two-year GDP outlooks lowered by up to half a point.

The new GDP projections also depend on a number of optimistic assumptions: that the EU debt crisis can be contained, that US fiscal turmoil can be quelled, and global financial market volatility smooths out. Government stimulus programs have to give way to private demand, and regions with heavy imports or exports need to balance themselves out. "If the assumptions are not met, global growth will be much lower," the IMF warns.


For the semiconductor industry, the revised IMF GDP forecasts are another dash of cold water on hopes of even a mild a bounceback in 2012. Last week Gartner kicked off the downgrade party by sinking its 2011 semiconductor forecast into the red, with a worst-case scenario of consecutive 2011-2012 declines (-2.2% and -4.9%) if global economies sputter.

Just days ago at his annual fall forecast presentation, IC Insights' Bill McClean cited a number of global GDP assumptions underpinning his forecasts for 2011 and 2012 (and beyond). Responding to the new IMF numbers, he agrees that if Europe's credit upheaval can be resolved "in a good way, our forecasts could still hold," though he admits there's "more downside than upside potential." If Europe's problems persist or widen, "a flat or negative semi market could be in store for this year and next."

McClean's GDP numbers are based on the World Bank, which he says calculates GDP in local currency divided by the previous year; the IMF uses a "purchasing power parity" method to adjust for seasonality differences and currency valuations. (The World Bank told SST it has nothing new to compare to the IMF's new GDP numbers.) As a result, he says the IMF numbers are about 10% higher -- so the IMF's new ~4.0% global GDP for 2011 would be more like 3.6% (McClean has 3.8% in his most recent global GDP assumption). But even so, the IMF update casts a pall over what might happen in 2012: that same discount would convert the IMF's new 1.9% US GDP for 2012 to 1.7% for McClean, vs. his current 2.8% assumption, and total developed from 1.9% (IMF) to 1.7% (vs. his 2.2%). -- J.M.

Friday, September 16, 2011

Key takeaways from IDF: Ultrabooks and phones

The big theme of this year's Intel Developer Forum (IDF) was about mobile technology, now and coming in the near future. Barclays' CJ Muse wraps up his key takeaways.

Ultrabooks. Intel wants to have a ~$1000 ultrabook on shelves in time for the 2011 holiday season, but desired features such as "all-day battery life, instant on, and touch capability" won't be incorporated until arrival of new architectures Ivy Bridge (2012) and Haswell (2013). Neither specifics nor benchmarks were disclosed at this year's IDF, Muse notes, but the company did show some ultrabook variations based on Sandy Bridge chips, and even some Haswell prototypes. Anything on shelves this season would be based on the 32nm Sandy Bridge chips.

Intel sees its Atom SoC "in line with mainstream products" by the 14nm node in 2014. Many discount Intel in the mobile space as playing major catch-up with ARM-based technology, but Muse notes that by the 14nm node Intel will have a two-year design cycle advantage vs. other foundries. Intel has a roadmap for a low-power SoC with the Haswell architecture, which is said to offer 20× reduced standby power and be more than 50% more power efficient than today's laptops (~15W vs. 35W).

What's different now vs. Intel's previous attempt to push its with "consumer ultralow-voltage" (CULV) processors? Muse says this time the message is not just ultrathin formfactor and low cost, but also offering at least or better performance than laptops. New features would include fast-start (5sec out of hibernation), a "smart connect" ability to quickly un-sleep to check for updates, and antitheft from McAfee.

One big roadblock in the road to Ultrabooks is cost -- specifically, getting supply-chain partners on board to keep their own costs low, while also designing in the features people want (e.g. sensors, touch) and what the product would require (solid nonplastic chassis, different battery, thinner speakers, low-profile keyboard, SSD drive). Intel is mapping a 40% penetration rate by end of 2012, but Muse "perhaps 20% is more realistic."

Android phone chips. A deal with Google to develop chips for Android-based phones is seen as "a step in the right direction," after a failed partnership with Nokia to develop the MeeGo platform (now relegated to niche use in markets e.g. auto). "Android is the leading OS in terms of units and we see endorsement of Intel's roadmap as key to winning sockets," Muse writes. Intel plans to release a phone sometime in 1H12.

22nm and capex. Intel's IDF is typically more about the chip architecture technology, but Muse offered some thoughts about recent reports that Intel might be tweaking its 22nm ramp-up. "Our checks do confirm that Fab 24 [in Leixlip, Ireland] has been removed from the 22nm roadmap and that Intel has also begun to incrementally cut tool orders here in 2H11," he writes. If the current market softness persists, Intel will pull back on 32nm utilization and switch to 22nm -- not a surprise, Muse says, since by far most of Intel's capacity (80%-90%) is still earmarked for PCs. Muse sees Intel's 2011 capex at about $9B, and lowering to $7B or $8B in 2012. -- J.M.

Wednesday, September 14, 2011

Gartner's revised industry forecast not for the faint of heart!

Another perfect storm is hitting the semiconductor industry in 2011 and its impact will be felt well into 2012. Jim Walker, VP, Research of Semiconductor Manufacturing and Emerging Technologies, at Gartner, gave a sobering forecast at the MEPTEC lunch forum (9/14/11, Santa Clara, CA). Inventory correction, foundry and DRAM overcapacity, and macroeconomic trends are hitting the industry. All the bad news has resulted in a significant slow down in the supply chain.

Gartner's revised forecast indicates that most likely, the semiconductor revenue growth for 2011 will be -0.1%; in 2012, the growth is projected to be 4.6%. The risk in the 2011/2012 forecast is if the economy takes a turn for the worse. The worst case forecast is -2.2% for 2011 and -4.9% for 2012. It is projected that 2013 will see a rebound as ASPs recover.

As an example of inventory correction, Walker noted that the industry saw no growth as a result of the "back to school" selling cycle and there is a reduced holiday build. Therefore, semiconductor companies' guidance for 3Q11 is well below the seasonal norm. Consumer confidence is at a 30-year low and Global Insights has said that the odds of a double-dip recession have risen from 25% to 40% in one month, said Walker. The DRAM sector has also been hit hard by reduced PC demand.

It would not be hyperbole to say that Walker's observations that ~85% of 2012 semiconductor growth will come from just three applications (tablets, smart phones, and SSDs) caused audible gasps from the audience. As Walker notes in a podcast interview (watch www.electroiq.com or my author page, http://www.electroiq.com/authors/vogler.html) for the podcast, to be posted soon) with SST, consolidation is a very real possibility in this situation.

Another of Walker's comments at the event elicited gasps. He believes that Apple's iPad/operating system is so entrenched in the market (75-77% of total market), that if competitors hope to make a dent in Apple's market share, they will have to price their tablets well below the iPad. He suggests a price in the range of $200-250-275 will be necessary to buy market share.

An interesting observation by Walker was that the industry was not particularly impacted by the Japanese quake. As result, the inventory correction has been continuing while "economic head winds mount," he said.

Walker also suggested that with respect to the transition from gold to copper wire bonding, it could be that in some applications, instead of converting to copper, it may be a better idea for some companies to go directly from gold wire bonding to a low-cost FC-type process (e.g., Cu pillar), which the industry will have to eventually do anyway as he questions the viability of wire bonding at around 32nm, 28nm, and below.

There were a few positives in the Gartner forecast. For the next couple of years the major growth markets will be in smart phones, the tablet PCs, and SSDs. These areas will start to grow a little bit in 2011. In 2012, however, the growth market for tablet PCs will be 250%. But, he cautions, if you're not in any one of these markets, there's not much happening for the rest of 2011 and the first half of 2012. "In the second half of 2012, we think the economy will start turning around and we'll start seeing growth in PCs, LCD display drivers and LCD TVs, etc.," said Walker.

-- By Debra Vogler, senior technical editor

Friday, September 9, 2011

Insights from Citi's Tech conference: Capex slump, Samsung bump, 28nm & EUV ramps

Citi brought a dozen semiconductor suppliers to its Technology Conference; what came out were common themes about an extended slowdown in demand and capex, good news from Samsung, and the status of 28nm ramp-up, 450mm wafer-size transition, and EUV lithography. The info below came out of notes from Citi analysts Tim Arcuri and Wenge Yang.

Downturn extends into 2012, for most. Once upon a time 2Q11 was seen as the worst of the current downturn; then orders pessimism pushed that flip date out to 3Q11. Now it looks increasingly like the sector won't see any relief at all this year.

After an expected -20% sales dip in 3Q11, Teradyne is being "cautious" on 4Q11 guidance, seeing "seasonal weakness starting in October" and "broad-based weakness" in tester orders (except wireless, thanks to Apple exposure). Citi's Wenge Yang notes that "all major players" in OSAT are cutting their capex in 2H11, which partly explains the soft SoC tester buy-in rate in 2H11.

Applied Materials, too, is "more cautious" about its fiscal 1Q12 (Jan.2012) orders being up, partly attributed to Intel's reported freeze of Fab 24/22nm (Ireland) orders. To the positive, though, most SSG orders in the October quarter (fiscal 4Q11) are technology buys since wafer capacity expansion is "essentially nil."

Not everyone's so pessimistic, though. Amkor feels "pretty good" about overall demand, thanks in part to its exposure to communication (smartphones) and gaming (seasonally strong). Utilization rates actually inched up above 80% in 3Q11, with "no notable inventory issues from most of its customers." KLA-Tencor wouldn't offer specific estimates about 4Q11 orders, but it did add some color to previous comments that implied "meaningful" growth, saying that it's a "reasonable scenario" since 4Q usually encompasses "positive seasonality." ATMI saw softer-than-expected foundry wafer starts in 3Q11 (-3% to -5% Q/Q instead of flat), but sees stabilizing forecasts from key customers into 4Q11, though they have yet to show anything "incrementally" better. Veeco's 4Q11 should be ok, but expiring Chinese subsidies could snap back orders in 1Q12.

And there's some growing hope on the horizon. Lam Research and Novellus confirmed Citi's report that Samsung is pulling in some tool shipments, "technology-related orders on the foundry side," to end-of-2011, which will help beef up some suppliers' 4Q numbers. ASML also supported the idea that orders have to rise in 4Q, or else customers will put at risk their stated 1H12 spending and technology objectives.

Citi's Tim Arcuri continues to point to data indicating WFE capex run-rate bottomed out in 3Q11 at ~$20B, essentially the "maintenance level" which is impossibly low to sustain. For 2012 he expects "flattish" capex vs. 2011, with a -10% decline as the "worst-case scenario." That worst-case view would put WFE spending at around $26B-$27B, far above the current WFE order run-rate.

28nm: A 1H12 story. Foundries (e.g. TSMC, GlobalFoundries) are taping out 28nm now but realistically the ramp is 1Q12-2Q12 until they can improve yields. ATMI looks to be designed into both TSMC's 28nm process chemistry and likely others (GF), and should grow revenues/wafer once 28nm ramps. NVLS also cited 28nm yield issues as a reason for recent foundry order pullbacks, but once they are resolved orders should flow again. LRCX said it has yet to ship any volume 28nm tools to major foundries.

450mm: Hurry up and wait. The major backers of 450mm (Intel, TSMC, Samsung) are getting together over the next few weeks to formalize "a unified plan/consortium," Citi's Arcuri writes. Nonetheless, with rising capital intensity driven by ever more complex steps (and new ones, e.g. EUV), tool suppliers are fine with holding off on their 450mm development, he says. NVLS is "more cautious" on 450mm wafer-size transition, citing a "deflationary outcome" seen in the shift to 300mm. LRCX, on the other hand, sees a big window of opportunity to gain share at 450mm.

EUV timeline compressed. Specifically talking about EUV, ASML expressed more confidence about the technology's ultimate viability, but time is running out to get to 60WPH targets by mid-2012, with sources still the key challenge, Arcuri noted. DRAM customers could get away with 50-60WPH for two layers, but other sectors (e.g. logic) are still uncertain. Eighteen tools are in the supply chain: 10 ordered and two more likely to order a tool each, but the other 6 are question marks in terms of timing. In the meantime, ASML plans a ~250WPH immersion tool for next year to fend off encroachment by Nikon which has gained qualifications at Samsung, TSMC, and INTC.