Monday, November 29, 2010

WaferNEWS Watch: The semicap-industrials disconnect

Rumors of a Samsung equipment pushout are simply another chapter in the company being logically ruthless in its litho tool procurement strategy. And there's a disconnect between semicap and industrial stocks despite some key commonalities, and there's money on the table for those who can figure it out.


Rumors of a Samsung DRAM equipment pushout have been greatly exaggerated, when in fact it's "the same old story [...] playing all over again," writes Barclays CJ Muse: Samsung's being logically ruthless in its litho tool procurement strategy. "A litho tool in their hand is one that is not with a competitor," so it's scooping up XT tools off the market and putting them into its NAND lines, and moving NXT tools over to its DRAM operations. Thus NAND demand is pulled in but DRAM is pushed out, and that is the balance in Samsung's orders vs. shipments, not any weakening demand.

There is, however, a delay in getting Samsung's Austin TX facilities ready for system LSI work, Muse points out. But with key customer Apple waiting for parts, he thinks those particular tool shipments probably won't slide more than 2-3 months into 1Q11.


Who's wrong, semicap investors or industrials?

There's a disconnect somewhere, and Barclay's CJ Muse says there could be money on the table for investors who figure it out.

Fact: Both semiconductor equipment and industrials are heavily weighted to worldwide GDP. Another fact: industrial stocks' P/E multiples are at a significant premium vs. semicaps, now around 25% after being as much as 57% back in August and ~30% for most of the year. That P/E premium is "difficult to comprehend," Muse argues, since both sectors are leveraged to the same end markets, and both seem to highlight a global supply/demand footprint and cost-structure aims. (Yes, semiconductor capital equipment firms are notoriously cyclical, but he argues that their improved margins in this cycle should have closed that P/E multiples gap with industrials -- which have their own cyclical plays too, he adds.)


P/E Premium – Industrials vs. semi equipment on CY11 consensus EPS. (Source: Barclays)


Industry watchers believe we're in a mild and short mid-cycle correction, and guidance from some key companies (KLAC, NVLS) suggests an order trough is on the immediate horizon (1Q-2Q11), on the order of -15% to -25%. "Once we get clarity on what the trough looks like, we believe investors will likely want to own semi cap equipment shares again," Muse writes. Investors generally don't fight the semiconductor cycle (much as they "don't fight the Fed"), but "any way you slice it, we believe the selective names that can drive superior cash flow generation this cycle (i.e.: LRCX, TER, KLAC] should see outperformance, particularly relative to higher-priced industrials shares," he says.

Tuesday, November 23, 2010

WaferNEWS Watch: Inside AMAT's 4Q numbers, 2011 outlooks

We take a look at AMAT's fiscal 4Q10 and 2010 numbers and 2011 forecasts, for its own businesses and WFE overall -- where it's made share gains, where it sees strength and softness, and how the pipeline has changed significantly.


AMAT's 4Q10 numbers at a glance:

AMAT topped Wall Street outlooks for its fiscal fourth quarter (ended Oct. 31): $0.35 EPS on $2.89B in sales (15% growth on the latter), vs. $0.31 and $2.59B. Bookings overall were up 11% Q/Q, Sales for the fiscal year were up 90% to $9.55B, with a $938M profit ($0.70 EPS). (Fiscal year results would have been higher without charges related to ramping down its thin-film PV activities.) For its fiscal 1Q11 AMAT sees sales down -8% to -15% ($2.46B-$2.63B), at the floor of Wall Street's $2.63B estimates, with $0.32 EPS right in line with the Street's expectations.


Key takeaways from the AMAT numbers and conference call, highlighted by industry watchers:

-- Bookings and backlog strong, but 1Q soft anyway. DRAM memory spending is down and will continue to be soft, but foundry and log more than made up for it in 4Q10.

AMAT's Mike Splinter pegged 2011 wafer fabrication equipment (WFE) sales at roughly the same dollar figure as 2010, $29B-$30B, plus or minus 10% (this "is now the most aggressive on the street," noted Credit Suisse's Satya Kumar), and the company is now tracking 17 fabs, vs. the 14 it said in July at SEMICON West. Look for a big bounce in NAND spending in 2011, with foundry spending flat or a little up.


WFE flat; NAND up, DRAM's the key. For WFE, and particularly NAND, look for the second half of 2011 to be stronger than the first half, according to AMAT's Splinter. A big driver of NAND spending will be to support tablets and smartphones; he cast out production numbers of 50M and 400M, respectively. "The big pitfall or potential upside is all around DRAM and PC-related demand," Splinter said during the conference call Q&A. "If WFE stays as strong as it is at the beginning of the year we'll have a stronger year."


Share gains in etch, CMP, wafer-level packaging. AMAT believes it has gained 2 percentage points of market share in that sector (roughly equal to $600M in sales), including 4 percentage points in etch (a point it made last quarter too. Barclays' CJ Muse narrows this down to wins at TSMC (CMP, WLP ECP), Samsung (silicon etch, inspection), and Intel (WLP ECP). "We won a lot of share back" in CMP, Splinter noted in the conference call Q&A, and "won basically over 60%" of decisions in wafer-level packaging.


200mm is robust. "Right now we're in a bit of a surge on 200 millimeter capacity," AMAT's Splinter said during the conference call Q&A. The company's AGS (services) business saw its margins dented because of this, due to 200mm shipment issues, admitted CFO George Davis during the Q&A -- as others had warned might happen. A lot of 200mm capacity was taken offline in 2009, but is still and increasingly desirable for things like MEMS, power transistors, analog devices, display drivers, even solar panels and electric cars. Look for 200mm demand to peak "sometime in 2011" and then level off for a few quarters at around 10%-20% rate, Splinter suggested.


Solar still strong, at least c-Si. Softness also is taking hold in the company's displays and EES segment, though crystalline silicon solar continues to be a good business, AMAT says: its EES sales in 1Q11 (c-Si only now) will "eclipse" 4Q levels, and installs could increase nearly 30% in 2011 to 21GWp. (Though this could be the first candidate for overcapacity risk and underperforming orders, warns Deutsche Bank's Peter Kim.) AMAT still has one SunFab factory it's waiting for signoff (~$50M-$75M), and is in talks with other SunFab customers about potential upgrades but with no forecasted business coming at this point.

AMAT's numbers of solar capacity additions are "significantly first-half weighted," noted Credit Suisse's Satya Kumar, and most of that is probably coming in low-cost regions -- e.g. China and Taiwan, which drove 75% of 2010 capacity adds and now encompass 65% of global solar capacity. 85% of AMAT's EES backlog is in c-Si, he added.


It's a new turns-business world. AMAT execs corrected one Wall Street analyst during the conference call Q&A who tried to extrapolate current bookings trends into 2011 shipments and sales. "Our order pattern has dramatically changed from history," Splinter said, and looking at the bookings backlog has become more about "who is ordering them than order of magnitude itself." Customers aren't ordering now for deliveries six months out or more (i.e. into 2H11), it's the "other way around, ordering now for deliveries in this quarter."

Wednesday, November 17, 2010

What is a chaogate?

A team of researchers have devised a new alternative to conventional logic gates, fabricated in silicon. They've named the creation "chaogates," a nod to the chaotic patterns used to encode and manipulate inputs to produce a desired output.

What do you need to know about chaogates?
How they are formed: The researchers selected desired patterns from the infinite variety offered by a chaotic system. A subset of these patterns was then used to map the system inputs (initial conditions) to their desired outputs. Exploiting nonlinear dynamics allowed them to design computing devices with the capacity to reconfigure into a range of logic gates.

Chaogates' niche in the semiconductor industry: Standard logic, memory and chaogates can co-exist on the same device. Inventors envision chaogates in gaming devices, and highly secure applications. Chaogates can rapidly "morph" between various functions, reconfiguring itself as needed.

Who will fabricate them: ICs using chaogates can be manufactured using the same wafer fabrication, assembly and test facilities as those already in use today.

Read more about chaogates here.

Monday, November 15, 2010

WaferNEWS Watch: CODE reuse, Samsung shivers, AMKR's no canary

Doug Freedman from Gleacher & Co. is bullish on Spansion, which he applauds for shedding its commodity-memory clothes to embrace its role in embedded NOR flash apps. Elsewhere, analysts ponder the potential fallout of a Samsung DRAM-tool pushout, and the bright side of Amkor's 3Q/4Q missed numbers.


CODE reuse: Tracking Spansion's triumphant return

Bullish on Spansion? That's Doug Freedman from Gleacher & Co., who applauds the company's emergence from bankruptcy with a focus on diverse embedded NOR flash end-markets -- and walking away from consumer mobile phones -- that frees it from the relentless price-gouging and cost-cutting pressure cooker of commodity memory. Where cell phones are cost-sensitive and lean toward more leading-edge geometries, Spansion's new core strategy embraces markets where its trailing-edge NOR flash technology (read: reliable, manufacturing-efficient, lower-cost wafers) can be used as code storage alongside integrated MCUs: industrial automation, automotive, gaming, smart grid, comm/networking.

Characterizing Spansion's new go-to-market strategy as "very similar to general purpose analog suppliers," he sees more likeness to a model like Cypress Semi -- "gross margin of 57% with roughly a 35% operating margin [...] and very similar cash flow characteristics" -- and share gains approaching 40% (vs. 30% today), in what he sees as a favorable competitive landscape in embedded including Macronix and Winbond, both of whom are lagging in technology (110nm and 90nm respectively), while CODE can get down to 65nm with scale.

With CODE dropping the bankruptcy label that kept it blacklisted from OEMs' preferred vendor list, the near- and medium-term picture looks bright, Freedman proposes. (Just watch the long-term possibility of longer-term memory integration, possibly from SoC/monolithic IC suppliers, he warns.)


Samsung shivers, LRCX freezes?

CitiGroup's Tim Arcuri warns that Samsung could push out up to $1B of orders for capacity-additive DRAM manufacturing equipment, and subsequently cast a downward glance on several firms (LRCX, NVLS, ASML) that are heavily leveraged to that customer. Barrons blogger Eric Savitz applauded Arcuri for "a refreshing bit of candor" in his mea culpa of a previous Sell rating being proven "flat-out wrong," with earnings rising more than expected and share gains "very impressive." Nevertheless, he warns that the Samsung tip-off could lead to a LRCX warning about calendar 4Q, and quarter shipments appear in worse shape than the company's last public outlook. (Arcuri isn't alone; Goldman Sachs reportedly removed LRCX from its "conviction buy" list too.)


False alarm: AMKR's no coal-mine canary

Amkor's 3Q10 results and 4Q10 outlook fell short of expectations, citing reasons from a tilt in mix toward BGAs (high-demand/high-content/low-margin) to lower utilization to currency valuations, but don't take the misses as a broader message about the semiconductor cycle, notes Credit Suisse analyst Satya Kumar. Rather, focus on AMKR's story: "substantial cycle to cycle improvement in metrics like capital discipline and gross margins," he writes. "It does appear that the weakness is more due to semis going through a mid-cycle pause than share losses." Assuming one takes TSMC's projection of 14% foundry growth to heart, that could translate into ~10% for AMKR, he says, while the company's declining capital intensity (~12% in 2011 vs. 16% in 2010) will boost cash flow.

Tuesday, November 9, 2010

WaferNEWS Watch: Handicapping LCD capex; WFR's overcast skies

Like its semiconductor cousin, LCD capex is going gangbusters in 2010 (77% growth, vs. ~60% foreseen previously), and Barclays' CJ Muse sees this trend continuing in 2011. LCD capex looks like it'll decline around -15% next year, but that's still good enough to be among the third best years since 2000, driven by Chinese panel makers and LG Display's Gen 8 expansion. 4Q10 will be the trough of the LCD cycle, Muse writes (in terms of panel pricing and glass demand), though lower capex means lower equipment orders and sales through 2011. Look for the biggest impact, he says, on tool suppliers Orbotech (~50% of CY10 sales in LCD), Applied Materials (~10%), Advanced Energy (~6%), and MKS Instruments (~3%), as well as Ulvac (~38% display) and Tokyo Electron (~11%).

And lower spending while capacity surges (19% in 2011, on top of 26% in 2010) means "a relatively healthier environment" for panel makers and suppliers, Muse notes. It's helped that a swarm of capacity expansions into China planned earlier in the year have stalled.


LCD capacity expansion plans. Source: Barclays Capital, company reports, DisplaySearch


Searching for sun in WFR forecasts

MEMC's 3Q10 results fell short of estimates, with margins lagging expectations; look for its solar biz to rise and semi side to sink, notes Deutsche Bank's Peter Kim. "We believe that the semi wafer industry is seeing some headwinds as utilization rates seasonally slow and potentially enter over-supply (as warned by Shin-Etsu last week)," he writes in a research note. While solar is seen as "robust" for several quarters, he sees supply/demand warning signs of potential oversupply in solar wafers. And SunEdison could get more complex, as projects soon coming online will have margins hit by declining FITs in 2011 and 2012.

Others see darker skies, pointing to WFR's inability to pin down a 2010 EPS number, citing the aforementioned SunEdison project complexities (namely its Rovigo project, which is supposed to clear direct sale in 4Q). "That's the worst thing. We're only one quarter out from the end of the year and they can't even guide," notes Oppenheimer analyst Gary Hsueh, quoted by TheStreet.com. "It seemed like the worst last quarter when they said that EPS would miss but couldn't be more specific, yet now they don't have a clue."

Wednesday, November 3, 2010

Prop 23 widely rejected by CA voters

Some say the opponents were disorganized. Others point to haphazard funding. Some say that Californians simply recognize clean energy as the future for their state, and economy. With nearly all votes counted, Proposition 23, to repeal the anti-pollution act AB 23, fell short. 61% of voters said no to Prop 23, which is being hailed as a victory for renewable energy like solar power.

Read the results, sourced from The LA Times, here.

A great deal of you are from California. Let us know how you voted on Prop 23, and why, in the Comments section

Tuesday, November 2, 2010

WaferNEWS Watch: A narrow, short semicap correction

Semicap orders are indeed sliding, but two industry analysts scanning the post-3Q10 results and commentaries conclude that it'll be both mild and short-lived.

3Q results and comments from semicap bellwethers (NVLS, KLAC) point to "real softness" in orders, with likely pushouts from TSMC, UMC, and Tier-2 DRAM makers -- "onsey-twosey-type pushouts that when you add them up can drive real weaknesss," says Barclays analyst CJ Muse in a research note.

TSMC, while saying it'll boost capex in 2011 above this year's $5.9B, has pushed some deliveries by up to six months into 2Q11, and is reducing its leading-edge fab-filling expectations -- its Fab 15 will now load to 50% 28nm, instead of 100%, with the rest taken up by "trailing-edge" nodes, Muse says. Other foundries (e.g. UMC, SMIC) are cutting a few tools as well. Memory is even harsher, e.g. IM Flash, Elpida, Promos, and Rexchip (cancelled R2 fab). And even Toshiba is supporting its NAND ramp with slower than expected ordering, with Fab 5 expected in late 2011.

Meanwhile, data from SEMI's monthly book-to-bill data suggests momentum in the backend segment of semi equipment "is grinding to a halt" and "the inevitable bookings roll-over has materialized" -- bookings down -38% from summertime peaks, and -44% for backend bellwether TER due to spending cuts from OSAT firms [FIGURE]. Satya Kumar with Credit Suisse agrees, noting that semicap companies are generally guiding down orders for 4Q10, particularly for backend tools.


Industry back-end bookings. (Source: Company comments, Barclays Capital)


Meanwhile, on the frontend of semiconductor manufacturing, order strength "started to crack" in 3Q, as seen in weakness in foundry and tier 2 DRAM from NVLS and LRCX, and KLAC's -26% dropoff in orders since June. "It is clear that visibility has weakened and cautious behavior on the part of chipmakers has emerged," Muse writes. (ASML is pretty much immune to all this, he says, because it's "the prized possession of the haves" e.g. Intel, Samsung, TSMC, etc., "and the 'crave-for' of the laggards." He pegs 2011 immersion tool shipment visibility of 100 units, up 10% from 2010, thanks to share gains at Intel and node shrinks "the only salvation" for memory firms to generate cash and pay debts.)


Order, shipment, revenue outlooks by front-end levered semiconductor equipment companies.
(Source: Company comments, Barclays Capital)


But behind the softness is underlying support to keep this downswing as a temporary hiccup in semicap, the analysts seem to agree:
  • No surprise in semicap slowdowns. The slowdowns in orders for semiconductor manufacturing equipment are in line with expectations, and are lagging datapoints anyway, Kumar writes. "If demand continues to recover, semicap orders will start to improve in a couple of quarters."
  • End demand looking better. Unit end-demands have improved after an August lull: smart phones "are very strong," PC ODM builds are tracking up 7% Q/Q for 4Q10, and tablets are promising "at least" 50M units in 2011, Kumar notes. DRAM content per box growth has flattened out, but that's not a surprise, and should improve in 2011 amid recovering demand and higher pricing. He sees "at least 2-3 years" for current cyclical growth due to product cycle trends (smartphone/tablets) and penetration growth in emerging markets.
  • Each major chipmaker segment shows promise. TSMC's projection of better-than-expected 14% foundry growth in 2011; chip companies are reporting higher 2011 foundry capex and in-line memory capex. NAND prices and demand "are robust," and foundry utilization rates are tracking better than expected in 4Q10. Plus, capital intensity for chipmakers (particularly memory) is only going up, and soon they'll have to add capacity in addition to shrinks. And foundry capacity is getting more expensive to add as well.

  • Moreover, current frontend capex drivers -- a foundry arms race including TSMC, GlobalFoundries, and Samsung, and NAND driving 2011 capex -- are independent of what goes on in the backend, namely SOC test and TER (which has seen spiking lead-times and a mix shift toward power/wireless). "We don't see how seasonal/digestion patterns from the back-end will prevent chipmakers like IMFS, Samsung, and Toshiba from continuing to build out new, strategically focused NAND capacity in 2011," Muse writes.
Bottom line: Wafer-fab equipment spending is destined to decline in 2011, but the magnitude and duration of the slide might not be unpalatable. "NAND and foundry trends are robust and better than expected," and DRAM is weak but not unexpectedly so, Kumar projects flat semiconductor capital expenditures for all of 2011, in which he sees a mild (-25%) and short (two quarters) correction in orders.

Muse likewise sees around -20% to -25% downside to orders, with WFE spending flat to -10% in 2011 -- a correction that "will likely be mild" and not the big whipsaws of recent years, he writes. At an individual company level he sees varying risk to orders, shipments, and revenues, but the overall trend isn't so bad. "A correction has begun, but the magnitude of the correction will likely be limited," he suggests.


WFE scenarios. (Source: Company comments, Barclays Capital)