Tuesday, November 2, 2010

WaferNEWS Watch: A narrow, short semicap correction

Semicap orders are indeed sliding, but two industry analysts scanning the post-3Q10 results and commentaries conclude that it'll be both mild and short-lived.

3Q results and comments from semicap bellwethers (NVLS, KLAC) point to "real softness" in orders, with likely pushouts from TSMC, UMC, and Tier-2 DRAM makers -- "onsey-twosey-type pushouts that when you add them up can drive real weaknesss," says Barclays analyst CJ Muse in a research note.

TSMC, while saying it'll boost capex in 2011 above this year's $5.9B, has pushed some deliveries by up to six months into 2Q11, and is reducing its leading-edge fab-filling expectations -- its Fab 15 will now load to 50% 28nm, instead of 100%, with the rest taken up by "trailing-edge" nodes, Muse says. Other foundries (e.g. UMC, SMIC) are cutting a few tools as well. Memory is even harsher, e.g. IM Flash, Elpida, Promos, and Rexchip (cancelled R2 fab). And even Toshiba is supporting its NAND ramp with slower than expected ordering, with Fab 5 expected in late 2011.

Meanwhile, data from SEMI's monthly book-to-bill data suggests momentum in the backend segment of semi equipment "is grinding to a halt" and "the inevitable bookings roll-over has materialized" -- bookings down -38% from summertime peaks, and -44% for backend bellwether TER due to spending cuts from OSAT firms [FIGURE]. Satya Kumar with Credit Suisse agrees, noting that semicap companies are generally guiding down orders for 4Q10, particularly for backend tools.


Industry back-end bookings. (Source: Company comments, Barclays Capital)


Meanwhile, on the frontend of semiconductor manufacturing, order strength "started to crack" in 3Q, as seen in weakness in foundry and tier 2 DRAM from NVLS and LRCX, and KLAC's -26% dropoff in orders since June. "It is clear that visibility has weakened and cautious behavior on the part of chipmakers has emerged," Muse writes. (ASML is pretty much immune to all this, he says, because it's "the prized possession of the haves" e.g. Intel, Samsung, TSMC, etc., "and the 'crave-for' of the laggards." He pegs 2011 immersion tool shipment visibility of 100 units, up 10% from 2010, thanks to share gains at Intel and node shrinks "the only salvation" for memory firms to generate cash and pay debts.)


Order, shipment, revenue outlooks by front-end levered semiconductor equipment companies.
(Source: Company comments, Barclays Capital)


But behind the softness is underlying support to keep this downswing as a temporary hiccup in semicap, the analysts seem to agree:
  • No surprise in semicap slowdowns. The slowdowns in orders for semiconductor manufacturing equipment are in line with expectations, and are lagging datapoints anyway, Kumar writes. "If demand continues to recover, semicap orders will start to improve in a couple of quarters."
  • End demand looking better. Unit end-demands have improved after an August lull: smart phones "are very strong," PC ODM builds are tracking up 7% Q/Q for 4Q10, and tablets are promising "at least" 50M units in 2011, Kumar notes. DRAM content per box growth has flattened out, but that's not a surprise, and should improve in 2011 amid recovering demand and higher pricing. He sees "at least 2-3 years" for current cyclical growth due to product cycle trends (smartphone/tablets) and penetration growth in emerging markets.
  • Each major chipmaker segment shows promise. TSMC's projection of better-than-expected 14% foundry growth in 2011; chip companies are reporting higher 2011 foundry capex and in-line memory capex. NAND prices and demand "are robust," and foundry utilization rates are tracking better than expected in 4Q10. Plus, capital intensity for chipmakers (particularly memory) is only going up, and soon they'll have to add capacity in addition to shrinks. And foundry capacity is getting more expensive to add as well.

  • Moreover, current frontend capex drivers -- a foundry arms race including TSMC, GlobalFoundries, and Samsung, and NAND driving 2011 capex -- are independent of what goes on in the backend, namely SOC test and TER (which has seen spiking lead-times and a mix shift toward power/wireless). "We don't see how seasonal/digestion patterns from the back-end will prevent chipmakers like IMFS, Samsung, and Toshiba from continuing to build out new, strategically focused NAND capacity in 2011," Muse writes.
Bottom line: Wafer-fab equipment spending is destined to decline in 2011, but the magnitude and duration of the slide might not be unpalatable. "NAND and foundry trends are robust and better than expected," and DRAM is weak but not unexpectedly so, Kumar projects flat semiconductor capital expenditures for all of 2011, in which he sees a mild (-25%) and short (two quarters) correction in orders.

Muse likewise sees around -20% to -25% downside to orders, with WFE spending flat to -10% in 2011 -- a correction that "will likely be mild" and not the big whipsaws of recent years, he writes. At an individual company level he sees varying risk to orders, shipments, and revenues, but the overall trend isn't so bad. "A correction has begun, but the magnitude of the correction will likely be limited," he suggests.


WFE scenarios. (Source: Company comments, Barclays Capital)

No comments:

Post a Comment