Tuesday, December 21, 2010

WaferNEWS Watch: China hitting the dimmer on LEDs?

Veeco's stock was nudging $50/share less than two weeks ago, but in the last five days has lost 19% of its value. Aixtron's lost about 9% during the same period. What's going on? Despite their recent assurances to the contrary, Citi's Tim Arcuri is increasingly pessimistic about a possible change in China's MOCVD subsidies that could send tool orders plummeting in 2011. Officials are becoming "very concerned" about misuse of the funds offered in the program, he said, citing no "smoking gun" but instead "a mosaic of discussions with China LED makers, policy makers, and other sources" within China. TheStreet cites a Chinese newspaper quoting a Yangzhou official that the subsidies will be cut off July 1, and that some companies have applied for the subsidy "that didn't even know the first thing about LED production."

Still, other analysts cited by TheStreet weight that much of this chatter is at the local level and may be part of metropolitan competition. Avian Securities' Andy Abrams points out that to reach its stated goal of 33% share of LED production by 2012, China will need another 500+ tools, on top of the 370 expected installations by early 2011. Chinese LED companies serious about being real players won't cancel orders, he surmises, they'll just find other ways to fund them. The real question, he says, is not whether China will dump its subsidy program -- but whether and how fast the market improves for LED backlighting.


Still bullish on semi capital intensity

Credit Suisse's Satya Kumar raised his semiconductor capex estimates for 2010, and all the way through 2012, believing that NAND, foundry, and Intel spending will more than offset a decline in DRAM capex. He sees 2011 capex now at nearly 9%, vs. flattish, and 2012 capex at $35B, vs. $30B-$32B previously. Shipments should plateau at ~10% levels through 2Q11, which is better than his prior view of this "mid-cycle pause."

Why more bullish? He reiterates his argument that semiconductor capital intensity is only increasing -- from ~5.2% in 2009 to ~10.5% in 2011-2012 (prior peaks: 12.6% in 2007, 15.8% in 2000) -- due to a pushout of 450mm wafer-size transition, accelerating product cycles and penetration, increasing cost/wafer starts per month for new logic and foundry capacity, and a transition in memory spending from shrink to capacity adds.

And industry companies seem to be rallying to the bullish flag. ASML's big bookings hike, a company with long product lead times, "impl[ies] others will see upside eventually," Kumar writes. At its midquarter update NVLS raised its 4Q10 bookings guidance, and VSEA, LRCX, and CYMI "have sounded incrementally more confident," he adds.

Tuesday, December 14, 2010

WaferNEWS Watch: Recap of Barclays' conf: Semicap outlook brightening for 2011

On the heels of Credit Suisse's gathering of semicap presenters, Barclays had its own Capital Tech Conference, where many of the same themes were reiterated. Key takeaways, as reported by CJ Muse:

  • Orders for 4Q are looking flat to up 5%, with no pushouts ("some have reversed themselves," he writes).

  • 2011 capex now looks "closer to flat" with 2009, vs. expectations of around -10%. "Most equipment vendors" are now on this bandwagon, Muse says. Lithography is more bullish than that (see the next point), while SoC test should see a trough in demand in 1Q11 (see the point after). Other areas to look at for 2011: foundry and NAND should spend strongly (main beneficiaries: LRCX and VSEA, also KLAC).

  • There's a gold rush in litho systems, Muse notes, as Tier 1 firms (e.g. Samsung, TSMC) race to add capacity by 1H11 and Tier 2 suppliers scramble to get their hands on immersion tools so they're not left in the dust. "Reluctance by nearly all semi players to push out immersion tools provides strong visibility to above-industry growth in 2011, and early adoption of premium-priced EUV systems contributes to 2012 strength," Muse writes. Overall immersion shipments could exceed 120 units in 2011, he says, with ASML likely further widening the gap between it and Nikon. And Cymer's looking at Y/Y unit growth in 2011 for both immersion and KrF light sources.

  • More specific frontend semicap insights: VSEA sees 1H11 tracking similar to 2H10 and NAND boosting 2H11 prospects, while its solar unit is on pace to exceed sales targets for both 2011 ($25M-$35M) and 2012 (>$100M); AMAT is tracking 17 fab projects in 2011; KLAC sees foundry spending and NAND spending in 2011; MKSI is leaning toward a flattish environment (likely driven by "bulky solar order[s]," Muse notes);

  • The market for SoC test tools, currently going through a 1-2 quarter digestion period, should hit a trough in 1Q11 "with a gradual recovery thereafter," Muse writes. (Huse also updates his take on the Verigy-LTX/Credence-Advantest M&A soap opera currently playing out -- suggesting that Advantest's offer really is the better one now, citing fair valuation ($11-$15/share, possibly increased to $15-$18), ballpark comps (closer to 2.0× EV/LTM sales), a stronger Yen for leverage, and ATE's desperation to add VRGY's high-end digital SOC capabilities. Even so, he still sees TER as the top dog in test, if only as a beneficiary of all the sector consolidation & uncertainty.)

  • Elsewhere in the backend, Amkor sees 4Q about at guidance (-5% to -10% q/q) and 1Q11 with typical seasonality (also -5% to -10%), but then growth resuming. Management emphasized growing copper capabilities. And Formfactor now has "some elements of a turnaround in place" -- including trimming its board of directors -- but uncertainties remain," Muse cautions.

  • In subsystems, AEIS and BRKS are helped by diversification: AEIS into PV inverters, and BRKS in other non-semi sources (to the tune of "at least $40M in revenue").

  • Key MOCVD equipment suppliers Aixtron and Veeco see no signs of slowing in either subsidies or tool orders form China; instead they emphasized "solid visibility to revenue momentum in 1H11," with new tools and an environment where lots of smaller players are driving spending with single-digit orders (translation: market gains at individual customers are hard to track).


Friday, December 10, 2010

IEDM 2010: too academic? or just right?

While attending IEDM 2010 earlier this week, I heard a number of industry experts comment that this year's conference was too academic. Translation: most of the technologies presented would never see the light of day in a fab. Indeed, during the opening day plenary session, it was noted that this year's conference had seen a drop in submissions from industry, while submissions from universities was up.

It seems reasonable that with so much R&D work being done under the auspices of consortia (and surely it will increase as the funding levels needed to get beyond 22nm increase), more papers will be presented by the consortia, and perhaps less presented by sole companies, or small groups. That leaves academia to round out the roster. But with so many different paths to get below 22nm - architecture and materials - maybe this is a perfectly logical development. A bewildering number of pathways might need a large number of research paths to sort everything out. So perhaps it's a good thing.

Please tell us what you think. Was this year's IEDM "too academic," and if so, is this a good trend or troubling? Or maybe this year was just a blip. Or perhaps you think the program is just right. Thanks for taking the time to comment.

Debra Vogler, Sr. Technical Editor
debrav@pennwell.com

WaferNEWS Watch: NVLS, ASML spreading holiday cheer

ASML: €2B with a bullet

In its 3Q10 results (Oct. 13), ASML forecasted 4Q10 bookings of about €1.3B. Eight weeks later it now sees as much as €2B coming in. (No details about 1Q11 numbers.) What happened? DRAM is weaker than expected, but NAND flash and especially foundry/logic new-fab commitments (55% of orders vs. 48% in 3Q) have far more than made up for it, the company says. Credit Suisse's Satya Kumar named names for likely culprits behind the increased demand: Samsung, TSMC, and GlobalFoundries for logic foundry, and IM Flash, Hynix, and Samsung in NAND flash.

None of those bookings include the company's EUV systems, of which six beta versions (NXE:3100s) are now on their way to customers. (Nine of the high-volume production version, NXE:3300, are slated to ship in 2012.) Those, he calculates, could translate into another €1B in incremental revenue, starting in late 2011 and throughout 2012.

While noting that order levels in 4Q are hard to sustain, Kumar sees several truths underpinning ASML's increased order demand, which is on track to end 2010 with a €3.4B backlog:
  • Semiconductor product cycles and market penetrations are only expanding
  • Capital intensity is only going up (memory as well as logic)
  • Lithography capital intensity is *really* going up, with ASPs racing ahead of tool productivity
  • ASML is gaining share vs. competitors (notably Nikon) in the next product cycle
  • EUV is no contest at the moment: ASML is "3+ years ahead" of competing tool suppliers.

Look for order levels to trend back down to ~€1.5B in 2012, which will include EUV, but with lumpiness like we're seeing in 4Q, Kumar says.



Novellus brightens mid-4Q update

In its Dec. 7 midquarter update, Novellus nudged up its guidance for 4Q10 bookings (-5% to +10%, vs. -14% to -10%), shipments (13% Q/Q vs. 9%), and EPS ($0.88-$1.00 vs. $0.85-$1.00) noting that business has picked up since October, with a couple of big orders (for new capacity) potentially making that upswing. 4Q sales ($367M-$385M) and gross margins (49%-51%) remain unchanged (the divergence between improved shipments and flat sales being attributed to new products for new customers). Fab utilizations are above seasonal averages and overall memory is solid (stable NAND offsetting weak DRAM), while foundry activity remains strong. NVLS execs see no pushouts, but the midpoint of the 4Q bookings growth is slower than the previous estimate.

Bottom line, agree several industry watchers (Credit Suisse's Satya Kumar, Deutsche Bank's Peter Kim, Barclays' CJ Muse, Tradition Equities' Peter Wright): NVLS' generally positive outlook sounds an awful lot like other semiconductor capital equipment suppliers. Nothing surprising.

Wednesday, December 8, 2010

IEDM coverage to come!

If you didn't get to attend IEDM or missed some sessions, you can catch up on the discussion topics by listening to my podcast interviews from the conference. Watch for them to appear in the Daily Pulse and WaferNEWS - or just check out the podcast section online at www.electroiq.com. There will be interviews with IMEC, SEMATECH, Leti, and more. (Comments - send email to debrav@pennwell.com)

Have you submitted abstracts yet?

If you haven't checked out our media planners for 2011 - check them out at www.electroiq.com/index/advertise.html. Each media planner (Solid State Technology, Small Times, Advanced Packaging, and Photovoltaics World) contains editorial calendars. We also have editorial calendars for our e-newsletters: WaferNEWS, Small Times (both MEMS and Nanotechnology - the e-NLs alternate topics), PV Times, and AP Semi-monthly. When you find a topic of interest to you, send an abstract to me at debrav@pennwell.com. Thanks!

Tuesday, December 7, 2010

WaferNEWS Watch: Inside the CS Tech Conference

General sentiment reported by Credit Suisse analysts from the firm's annual Technology Conference (11/29-12/2) pointed to a solid opening weekend for holiday purchases and positive vibes about early 2011. Company presentations of interest shed light on strategies at TSMC, Micron, and several equipment suppliers.

Comments from participating companies continued to point to a 1-2 quarter industry pause -- not a cyclical downturn -- wtih lean inventories and visibility into 1Q11 that shows returning to seasonality. Potential upside is in areas relevant to touch-screen, industrials/auto, smartphones & tablets, comm and RF, and lighting. Themes with a longer playout include growth of semi content in devices and higher R&D intensity at smaller nodes. A survey of 200+ investor participants was "cautiously optimistic" on tech with 64% "overweight" on the sector and just 12% underweight; 76% of investors expect tech to outperform in 2011 (14% see underperform), with semiconductors behind only software and tied with Internet for subsector picks.

Another widely supported theme was upbeat attitudes about a successful holiday shopping kickoff, with a handful of happy vendors represented. Visa showed double-digit Y/Y growth in several payment trends. And Microsoft's Xbox had its biggest Black Friday and week in history.

Cautious optimism among chipmakers, suppliers

Updates from several key industry companies indicated slightly better visibility through 1H11, and a number of

-- TSMC noted its 4Q10 is on track and 1Q11 forecasts are better than seasonal (flat to -5%). The foundry still sees much better growth in IDM outsourcing (15%, up from 10% in 2010) than semiconductors (5%), IDM logic (6%), or fabless ("high single-digit"), citing demand for PCs, handsets, and consumer apps. The foundry will grow capex in 2011 with "full loading every quarter" though "not as tight as 2010" -- the tool move-in schedule was Fab 12 phase 5 in 3Q10, then Fab 14/phase 4 in 1Q11, and then phase 1 at its new gigafab Fab 15 in 2Q11. Leading-edge node is clearly a high complexity but high-reward business -- capex per 1k wafers will be doubled at 28nm than 65nm, there are half as many customers two years into the 40nm ramp than at 130nm, and 20% fewer devices, but wafer volumes are 4× higher than 130nm. TSMC is an EUV litho tool user, but hasn't finalized the timing of a ramp due to well-known throughput issues. It's been a big e-beam proponent but that technology requires a ballet of multiple tools used in parallel; TSMC is betting on extending double-patterning to the 20nm node, then requiring EUV at 14nm in 2015. TSMC also has a phased plan for its LED business (lower costs/tech development, develop a 20% ROE biz model, and then ramp volumes) and solar fab (completed by mid-2011 and then initial volumes).

-- Micron sees 1Q11 demand slightly above seasonal, with DRAM inventory rebuilds starting up, including for the Chinese New Year. Intel's new Sandy Bridge architecture should help with demand as gfx/processor compete for memory resources. Bits/box should rise from 3.3GB to 4.5GB by year's end. While inventory might be lean for semiconductors in general, MIcron sees inventory still at 3-4 weeks and needs to get to 102 weeks in 1Q11 to stabilize and boost prices. The top 3 DRAM makers are widening the gap with Tier 2 suppliers; Micron sees a 25%-50% gap in cash costs alone. Look for 45%-50% supply growth for DRAM and 70%-90% NAND in 2011; NAND flash bookings have picked up very recently (though ASPs are still down in double-digits), and MU is "optimistic about non-Apple builds and smartphones" through 1H11. And solid-state drives continue to gain momentum; MU thinks notebook vendors want up to 25% of notebooks to have SSDs targeting ~256GB or more (though OEMs need to drop prices another -10% to $1/GB), a level of demand that will require four more NAND fabs to satisfy.

-- ASML sees 10% growth in the litho market (vs. SEMI's new estimate of 7% in overall wafer processing), driven by technology shrinks, with "no weakness in any segment." Six EUV beta tools are now out (CS's Satya Kumar says to Intel, Samsung, Hynix, Toshiba, TSMC, and IMEC), with production tools to ship in 2012. Despite some analysts' worries to the contrary, ASML claims to be seeing "no pushouts" and sees strong demand; Kumar thinks is because NAND capex is frontloaded for Samsung in 2011, and backloaded for others.

-- Could 2011 capex be flat? That's what AMAT thinks could happen. DRAM spending probably won't dip below -30% decline, since any lower than that wouldn't support 50% bit supplies for 2011. Foundry capex should be firm through 1H11 and "could surprise in 2H11." And 2011 could be the year that NAND capex usurps DRAM (though DRAM has surprised us in the past). Regarding the solar sector, AMAT estimates 35-40GW of solar cell capacity by the end of 2010 and 55-60GW by end of 2011 -- way more than CS' demand estimates of ~14-15GW. That's not to say that we're already oversupplied, though, notes Kumar -- low-cost Chinese cell suppliers are already at full utilizations and Taiwan/Chinese companies are adding incremental capacity, "which means the bar is significantly higher for solar now."

-- LCRX is comfortable with its 4Q10 shipment guidance, as NAND pullins are helping offset DRAM price declines. 1H11 shipments are tracking slightly better at flat to -5% (vs. prior outlook of -10% lowend).

-- CYMI has shipped a fourth EUV unit (out of six total), with the fifth likely shipping in early 2011. EUV ASPs are likely to be 10% of overall value; gross margins will be below CYMI's 50% average. Interestingly, CYMI thinks it could recognize EUV and TCZ revenue in 2011 (ASML will postpone EUV revenue until 2012). Onpulse, the company's long-term service program that charges by pulses, accounted for 70% of installed base quarterly revenue and 60% of total company revenue. Gross pulses increased 3% in 3Q10, a counter-cyclical trend apparently the result of companies' habits of tightening utilization first in an upturn, and tune it down last on the downswing.

-- Like others, KLAC is comfortable with high shipment expectations through 1Q11, with a $1.1B shipment backlog ($1.4B total) likely translating to >$800M in shipments during that period. Like AMAT, KLAC sees 2011 total capex possibly flat, with NAND capex on the cusp of surpassing DRAM capex. An average fab at the 4X node requires 40% higher capital intensity than a 6X fab, the company calculates.

-- VECO forsees a dramatic plunge in LED device ASPs over the next two years, which will drive a 31% CAGR, and push the company to 50% market share. LED equipment customers in China (a region that's 30% of VECO's sales) range from well-established firms to startups to JVs with Korean or Taiwanese partners. One worrisome point: uncertainty over expiration of "generous" Chinese subsidies, which expire anywhere from 6-18 months; these average $1M/tool and have fueled record MOCVD sales for both VECO and Aixtron. AMAT and some Korean startups hope to crack the LED tool sector in the near future.

-- A dinner meeting with MEMC investors and execs shed light on smaller-diameter wafers; the company is seeing an uptick in 200mm ASPs (for semiconductors), and that 2011 ASPs should continue to rise (WFR is more exposed to 200mm than Japanese rivals, notes CS' Satya Kumar). With this projected increase, WFR wants to focus on 200mm and "avoid new 300mm capacity in the near term." In the company's solar business, it plans 600MW capacity expansion in Malaysia, with $300M capex incurred; half of total capex will be incurred in 1H11. The new technology will be multicrystalline with larger crystals and efficiency "near mono," but Kumar notes scalability has yet toi be demonstrated. WFR execs emphasized they will add capacity only if they have matching in-house poly capacity, to shield against poly cost volatility; the company wants to avoid cell or module capacity investments, since oversupply could slash GMs by 50%.

WFR company execs also offered more insights into the non-GAAP accounting treatment of the SunEdison business, which is something of a sore point -- "the company had been frustrated by the lack of comparability between the SunEdison previous real estate-based accounting treatment and competitors’ IFRS," Kumar notes. Changing the accounting method means all revenue will be recognized at the project sale, while cost recognition will happen as incurred -- that's a change from GAAP real estate-based accounting, where revenues cannot be recognized until guarantees are fulfilled 100%. This means, for example, the company's Rovigo sale will push up 4Q10 non-GAAP revenue, but most costs will be incurred in early 2011 since most of the MW has yet to be built out.

Calling for articles on nanotechnology, especially biotechnology

If you have an interesting abstract on the topic of bionanotechnology, please submit it to debrav@pennwell.com for Small Times.

Call for feature articles for the July/Aug issue of PVW

If you are a solar cell/module manufacturer, or a supplier of solar PV equipment/materials, please submit abstracts to debrav@pennwell.com on the following topics: update on c-Si technology, silicon production, and/or wafering and sawing. Thanks!

Friday, December 3, 2010

WaferNEWS Watch: Sizing up the shrinking SoC market

The system-on-chip market was a cattle-call barely a decade ago but has thinned to just three major providers now, and that's best for everyone -- but especially Teradyne, says Barclays analyst CJ Muse. He also addresses whether there's any hidden upside to the Samsung-AMAT settlement.


Handicapping the SoC market: Three's not a crowd

Now that the market for system-on-chip (SOC) test is down to three main suppliers (Teradyne, proposed LTX-Verigy merger, and Advantest), Barclays' CJ Muse handicaps the field. Advantest's key customers are Intel and AMD (plus some Toshiba business), but though its T2000 has expanded it's still lagging TER and VRGY in performance and roadmap, he says. A merged LTXC/VRGY means no more single-platform strategy: look for the Diamond to overshadow the V101, and the 93K to win out over the Sapphire, he says. Teradyne, meanwhile, has emerged as the company with the most complete SoC test portfolio; and as with any merger, some affected LTXC/VRGY customers (especially those using to-be-discontinued products) could be up for grabs.


Semiconductor test product matrix. (Source: Barclays Capital)


TER has pulled away from the pack over the past five years to grab ~50% share in 2010, thanks in part to mix (less CPU/GPU spending hurts VRGY and Advantest) but also by gobbling up other's business. TER is holding its own at digital powerhouses Qualcomm and Broadcom, is making inroads into LTX "strongholds" TXN and ST, and Muse says its Eagle Test division has "incremental gains" for core analog at Monolithic Power, On Semi, and Linear. TER also has a strategic focus on next-gen products centering on mobility (getting more out of chip design or test functionality) and power efficiency (exploring areas such as LED or different storage techniques) that should maintain its ~50% share, he believes.



SOC test market share, in % (bars) and US $M (table). *Estimated (Source: Barclays Capital)


A market that just a decade ago had a dozen jostling competitors now has three players with ≥95% market share (two with ≥80%), and that makes the market more rational, Muse writes. Socket wins and competition will still persist, but more rational behavior from management should keep up the ASP pressure and better support margins for everyone.

UPDATE 12/6: Advantest has submitted an unsolicited $12.15/share (~$700M) bid for VRGY, which said it would initially reject in favor of going forward with LTXC -- however, it coyly noted that "the Advantest proposal might lead to a superior transaction" (read: pay us more) and so will "engage in discussions." Muse's take: Advantest lags "severely" behind all three SoC test players (TER, VRGY, LTXC) and probably sees this is as its "last shot at obtaining core digital and/or analog technology" from either VRGY or LTXC. He sides with the VRGY-LTXC as the better strategic combination ("LTXC's low-end digital and analog is precisely what VRGY is missing"), but adding Advantest to the mix clearly puts that deal at risk. (Investors seem happy just to have a second suitor; VRGY stock is currently up 40% after ATE's offer, wiping out what was a 30% premium to VRGY's Friday stock price; LTXC is down 12%.)



AMAT-Samsung: Back to just friends?

Speculation that AMAT's settlement with Samsung over an IP-leak dispute featuring reduced tool pricing could float its market share at its key customer might not be quite accurate, says CJ Muse from Barclays. While the supplier marches on with new chip tool introductions, its "gradual progress in organic growth" puts it at roughly 19% market share through 2009 for wafer fab equipment -- that's below the 22% it held in 2004.

As for AMAT's newest etch products, Muse notes they "are intended to be useful in SADP/ LELE etch (for now) and in TSV silicon etch (in 2012)," and the Centris "may have helped performance at Toshiba, Samsung, and Micron" (AMAT said five customers have or will soon take shipment). Still, he sees these new tools as "more evolutionary than revolutionary, and aimed at recapturing share lost in silicon etch when deep trench architecture converted to stack in DRAM." And taking share won't be easy in an etch market that includes not just familiar foes Lam Research and TEL but also Hitachi, China's AMEC, and Mattson (for noncritical etch), he points out.