Tuesday, December 7, 2010

WaferNEWS Watch: Inside the CS Tech Conference

General sentiment reported by Credit Suisse analysts from the firm's annual Technology Conference (11/29-12/2) pointed to a solid opening weekend for holiday purchases and positive vibes about early 2011. Company presentations of interest shed light on strategies at TSMC, Micron, and several equipment suppliers.

Comments from participating companies continued to point to a 1-2 quarter industry pause -- not a cyclical downturn -- wtih lean inventories and visibility into 1Q11 that shows returning to seasonality. Potential upside is in areas relevant to touch-screen, industrials/auto, smartphones & tablets, comm and RF, and lighting. Themes with a longer playout include growth of semi content in devices and higher R&D intensity at smaller nodes. A survey of 200+ investor participants was "cautiously optimistic" on tech with 64% "overweight" on the sector and just 12% underweight; 76% of investors expect tech to outperform in 2011 (14% see underperform), with semiconductors behind only software and tied with Internet for subsector picks.

Another widely supported theme was upbeat attitudes about a successful holiday shopping kickoff, with a handful of happy vendors represented. Visa showed double-digit Y/Y growth in several payment trends. And Microsoft's Xbox had its biggest Black Friday and week in history.

Cautious optimism among chipmakers, suppliers

Updates from several key industry companies indicated slightly better visibility through 1H11, and a number of

-- TSMC noted its 4Q10 is on track and 1Q11 forecasts are better than seasonal (flat to -5%). The foundry still sees much better growth in IDM outsourcing (15%, up from 10% in 2010) than semiconductors (5%), IDM logic (6%), or fabless ("high single-digit"), citing demand for PCs, handsets, and consumer apps. The foundry will grow capex in 2011 with "full loading every quarter" though "not as tight as 2010" -- the tool move-in schedule was Fab 12 phase 5 in 3Q10, then Fab 14/phase 4 in 1Q11, and then phase 1 at its new gigafab Fab 15 in 2Q11. Leading-edge node is clearly a high complexity but high-reward business -- capex per 1k wafers will be doubled at 28nm than 65nm, there are half as many customers two years into the 40nm ramp than at 130nm, and 20% fewer devices, but wafer volumes are 4× higher than 130nm. TSMC is an EUV litho tool user, but hasn't finalized the timing of a ramp due to well-known throughput issues. It's been a big e-beam proponent but that technology requires a ballet of multiple tools used in parallel; TSMC is betting on extending double-patterning to the 20nm node, then requiring EUV at 14nm in 2015. TSMC also has a phased plan for its LED business (lower costs/tech development, develop a 20% ROE biz model, and then ramp volumes) and solar fab (completed by mid-2011 and then initial volumes).

-- Micron sees 1Q11 demand slightly above seasonal, with DRAM inventory rebuilds starting up, including for the Chinese New Year. Intel's new Sandy Bridge architecture should help with demand as gfx/processor compete for memory resources. Bits/box should rise from 3.3GB to 4.5GB by year's end. While inventory might be lean for semiconductors in general, MIcron sees inventory still at 3-4 weeks and needs to get to 102 weeks in 1Q11 to stabilize and boost prices. The top 3 DRAM makers are widening the gap with Tier 2 suppliers; Micron sees a 25%-50% gap in cash costs alone. Look for 45%-50% supply growth for DRAM and 70%-90% NAND in 2011; NAND flash bookings have picked up very recently (though ASPs are still down in double-digits), and MU is "optimistic about non-Apple builds and smartphones" through 1H11. And solid-state drives continue to gain momentum; MU thinks notebook vendors want up to 25% of notebooks to have SSDs targeting ~256GB or more (though OEMs need to drop prices another -10% to $1/GB), a level of demand that will require four more NAND fabs to satisfy.

-- ASML sees 10% growth in the litho market (vs. SEMI's new estimate of 7% in overall wafer processing), driven by technology shrinks, with "no weakness in any segment." Six EUV beta tools are now out (CS's Satya Kumar says to Intel, Samsung, Hynix, Toshiba, TSMC, and IMEC), with production tools to ship in 2012. Despite some analysts' worries to the contrary, ASML claims to be seeing "no pushouts" and sees strong demand; Kumar thinks is because NAND capex is frontloaded for Samsung in 2011, and backloaded for others.

-- Could 2011 capex be flat? That's what AMAT thinks could happen. DRAM spending probably won't dip below -30% decline, since any lower than that wouldn't support 50% bit supplies for 2011. Foundry capex should be firm through 1H11 and "could surprise in 2H11." And 2011 could be the year that NAND capex usurps DRAM (though DRAM has surprised us in the past). Regarding the solar sector, AMAT estimates 35-40GW of solar cell capacity by the end of 2010 and 55-60GW by end of 2011 -- way more than CS' demand estimates of ~14-15GW. That's not to say that we're already oversupplied, though, notes Kumar -- low-cost Chinese cell suppliers are already at full utilizations and Taiwan/Chinese companies are adding incremental capacity, "which means the bar is significantly higher for solar now."

-- LCRX is comfortable with its 4Q10 shipment guidance, as NAND pullins are helping offset DRAM price declines. 1H11 shipments are tracking slightly better at flat to -5% (vs. prior outlook of -10% lowend).

-- CYMI has shipped a fourth EUV unit (out of six total), with the fifth likely shipping in early 2011. EUV ASPs are likely to be 10% of overall value; gross margins will be below CYMI's 50% average. Interestingly, CYMI thinks it could recognize EUV and TCZ revenue in 2011 (ASML will postpone EUV revenue until 2012). Onpulse, the company's long-term service program that charges by pulses, accounted for 70% of installed base quarterly revenue and 60% of total company revenue. Gross pulses increased 3% in 3Q10, a counter-cyclical trend apparently the result of companies' habits of tightening utilization first in an upturn, and tune it down last on the downswing.

-- Like others, KLAC is comfortable with high shipment expectations through 1Q11, with a $1.1B shipment backlog ($1.4B total) likely translating to >$800M in shipments during that period. Like AMAT, KLAC sees 2011 total capex possibly flat, with NAND capex on the cusp of surpassing DRAM capex. An average fab at the 4X node requires 40% higher capital intensity than a 6X fab, the company calculates.

-- VECO forsees a dramatic plunge in LED device ASPs over the next two years, which will drive a 31% CAGR, and push the company to 50% market share. LED equipment customers in China (a region that's 30% of VECO's sales) range from well-established firms to startups to JVs with Korean or Taiwanese partners. One worrisome point: uncertainty over expiration of "generous" Chinese subsidies, which expire anywhere from 6-18 months; these average $1M/tool and have fueled record MOCVD sales for both VECO and Aixtron. AMAT and some Korean startups hope to crack the LED tool sector in the near future.

-- A dinner meeting with MEMC investors and execs shed light on smaller-diameter wafers; the company is seeing an uptick in 200mm ASPs (for semiconductors), and that 2011 ASPs should continue to rise (WFR is more exposed to 200mm than Japanese rivals, notes CS' Satya Kumar). With this projected increase, WFR wants to focus on 200mm and "avoid new 300mm capacity in the near term." In the company's solar business, it plans 600MW capacity expansion in Malaysia, with $300M capex incurred; half of total capex will be incurred in 1H11. The new technology will be multicrystalline with larger crystals and efficiency "near mono," but Kumar notes scalability has yet toi be demonstrated. WFR execs emphasized they will add capacity only if they have matching in-house poly capacity, to shield against poly cost volatility; the company wants to avoid cell or module capacity investments, since oversupply could slash GMs by 50%.

WFR company execs also offered more insights into the non-GAAP accounting treatment of the SunEdison business, which is something of a sore point -- "the company had been frustrated by the lack of comparability between the SunEdison previous real estate-based accounting treatment and competitors’ IFRS," Kumar notes. Changing the accounting method means all revenue will be recognized at the project sale, while cost recognition will happen as incurred -- that's a change from GAAP real estate-based accounting, where revenues cannot be recognized until guarantees are fulfilled 100%. This means, for example, the company's Rovigo sale will push up 4Q10 non-GAAP revenue, but most costs will be incurred in early 2011 since most of the MW has yet to be built out.

1 comment:

  1. I appreciate your post, thanks for sharing the post, i would like to hear more about this in future

    ReplyDelete