Wednesday, January 26, 2011

WaferNEWS Watch: Semicap 1Q11 preview: Rising tides

Wall Street analysts handicap this week's field of semicap earnings announcements, and the impact of some big chipmakers recently lifting their 2011 capex ceilings.

Generally speaking, both CJ Muse (Barclays Capital) and Peter Kim (Deutsche Bank) expect a slightly better-than-thought 4Q10 from several key suppliers reporting their financials this week, as foundries and NAND flash suppliers get an early jump on what likely will be a very busy 2011 for both sectors. Kim sees things at the upper end of guidance ranges, with around -4% sales declines.

Both analysts also expect 1Q11 to be flattish (or slightly lower than 4Q10), though Kim thinks it'll be better than originally thought as recently hiked 2011 capex budgets would seem to erase a projected midyear capex gap. "Concerns of tool delivery schedules could motivate chipmakers to place longer lead time orders for tools to secure delivery slots)," which could pull in orders into 1Q11, Kim writes. Muse adds that ASML, which has the highest lead times in the SPE sectors, just reported near-term record orders. Look for bookings to climb again in 2Q10 as fabs firm up their ramp-up plans, Kim notes. Indeed, the next week will bear this out as Samsung, Hynix, TSMC, and UMC all report their quarterly results and 2011 capex plans; Kim sees Samsung and Hynix coming in flat or slightly lower in 2011 vs. 2010, while TSMC likely will raise its budgets (UMC is keeping its 2011 capex flat at $1.8B...Kim had been projecting $2.0B). Toshiba might also provide some clarity on its Fab 5 spending plans ahead of its fiscal year-end in March.

A rundown of their company-by-company expectations:

Lam Research: Intel's $9B capex surge in 2011 helps competitors and hurts LCRX which has little business there outside of bevel clean -- but this might indirectly help, too, if other chipmakers (e.g. foundries) feel compelled to open their wallets a little more to keep up, points out Kim. Other key accounts with anticipated big 2011 capex investments (Samsung, GlobalFoundries, TSMC) should pick up the slack, too. Muse sees inline C4Q10 revenue/shipments and a flattish C1Q11, with SEZ clean business picking up any slack in etch demand. Much of LRCX's business will be backend-loaded in 2011. "We think that $800+ revenues is definitely sustainable in the 1H of 2011, if not for the whole year," Muse writes. Keep an ear out, though, for any comments (however unlikely) made by LRCX CEO Steve Newberry during the results call regarding overspending or peak spending.

KLA-Tencor: KLAC has the largest exposure to logic and foundries who are leading the capex charge in 2011, and they generally buy high-end wafer and mask inspection tools, Kim and Muse agree. ASML's record orders also comes into play here, since those masks have to be inspected, Kim points out -- though he thinks some of that reticle inspection business could be split with AMAT, whose Aera 2 is believed to have a foothold at Intel. Muse sees KLAC coming in at C1Q11 guidance of flat/-10% orders, though like others this should quickly pick up in subsequent quarters. Kim also points bullishly at KLAC's new LED production tools, a market that "is quickly becoming large enough to be meaningful" to the company.

Varian Semi. Equip. Assoc.: This is a clear darling of both analysts; Muse gushes that it's "one of our favorite secular growth stories" (in both semi and solar), and Kim acknowledges that VSEA is the leader in both HC and MC implant, and the sole supplier of PLAD tools (all DRAM for now, but maybe NAND later). That means there's a lot of wind blowing to fill its sails, from 2011 capex projections from Intel, Samsung, and GlobalFoundries to increased penetration of its Solion tool (projected $25M sales in 2011 with possible upside, $100M in 2012, according to Kim). "With capacity expansion spending in full swing [and] our estimates incorporating only ~$50M in new market revenues, we see upside potential to our above-consensus CY11 and CY12 estimates," Muse writes.

Teradyne: Barclays' Muse expects -21% Q/Q decline in C4Q10 orders to $275M, but hopes to find in TER's results some "confirmation that the March Q is indeed the trough for tester demand." Leading the way back up the slope will be a pickup in NAND test demand, he says.

Novellus: NVLS is actually lagging in cycle-to-cycle revenue growth, Kim says, but PVD sales are trending higher thanks to marketshare gains in memory. Also, share buy-backs and "disciplined cost control" give it better earnings leverage growth, he adds.

Applied Materials: Though AMAT reports later than other SCE firms, it's still by far the industry 800-lb gorilla, and it'll get a windfall from Intel's capex splurge (CMP, RTP, epi, PVD, and mask inspection as stated above). But overall, AMAT could see a lag from EES (orders down in F1Q11, with added risk of a growing solar PV market oversupply situation) and FPD businesses (down "moderately" in F1Q11 and flat through F2Q11), Kim says.

No comments:

Post a Comment