Tuesday, October 19, 2010

WaferNEWS Watch: Three candidates for semicap LBOs

Time for a wave of leverage buyouts in the semiconductor equipment sector? Credit Suisse's Satya Kumar thinks so, with some big names possibly in the mix. Also, IC distributors' efforts to burn & churn will oscillate back up the supply chain to a basket of IC suppliers. And ASML's quarterly results point to some ups, downs, and more ups again.

Time for semi tool LBOs

Time for a wave of leverage buyouts (LBO) in the semiconductor equipment sector? Credit Suisse's Satya Kumar thinks so, with some big names possibly in the mix, due to a number of factors.

There's been an uptick in LBOs in the broad stock market, Kumar points out, citing most recently last week's rumors of Seagate in talks with private equity (PE) firms. High yield debt markets are finally showing signs of improvement, interest rates are at shockingly low levels -- and while PE fundraising is still touchy, funds raised around 2005-2007 are at a point of mid-life where investors like to look for the exits. Moreover, investors may have reacquired an appetite for risk in pursuit of higher yields, Kumar notes. PE funds won't stomach any 10×-12× EV/EBITDA multiples this time around, but valuations for semiconductor capital equipment companies are much more compressed in this cycle (5×-6× EV/EBITDA), to a level "that make LBO scenarios more plausible," he writes.

So who might get a PE knock on the door? Kumar points to NVLS, TER, and VRGY as most likely candidates from a valuation perspective; those stocks "show 22% to 56% upside in an LBO event under a stressed and base line capex scenario, and yet return 20% IRR to potential private equity buyers," he writes. VRGY and even AMAT may also generate some interest if only to pursue a change of strategy (as have done NVLS and TER in recent years) -- e.g. focus on profitable business & exit unprofitable ones, optimize opex to streamline R&D, and pursue inorganic growth through M&A.

Channel churn dings IC suppliers

A basketful of semiconductor companies have a problem, says Doug Freedman of Gleacher & Co. General-purpose products often are ordered without exact correlation to demand, and now order trends from the distributor channel are "rapidly slowing" as IC distros seem "in deeper and earlier than feared stages of burn," in an effort to ward off more inventories and bide their time for some possible pricing relief in early 2011, he writes. This could soak some firms who depend on the channel for the bulk (40%-70%) of sales -- most notably NSM, TXN, ISIL, MCLR, and MPWR -- who should see more oscillation in their revenues into early 2011 while distros rebalance their supply vs. demand. "We imagine that management teams are finding it increasingly difficult to assess at what point backlog reduction will stabilize," and will probably have to embrace more future turns business, he writes. (Others not so affected: LLTC, MXIM, and ADI, who he says "have possessed greater discipline, also evident in past cycles, to prudently control distributor take-rates.")

ASML: Digestion, then growth on EUV

In semiconductor manufacturing, particularly at the leading edge, one name in lithography gets a lot of attention: ASML. (At a recent SEMI talk near Albany NY, an audience member asked about the fortunes of the other two historic litho competitors Nikon and Canon, to which a GlobalFoundries exec quipped: "...Who?") And so ASML now gets some extra attention after opening its quarterly books last week, showing soaring 3Q10 profits and sales in line with or slightly better than expectations.

Credit Suisse's Satya Kumar breaks down ASML's quarterly results and projects their business in 2011 ("digestion") and 2012 (growth resuming as EUV arrives). He thinks fears of a pause in foundry spending "are overdone," with TSMC and GlobalFoundries both looking for more business in 4Q10, and Samsung's foundry business tight thanks to Apple. NAND litho capex in 2011 will be double the 2010 levels, according to the company, while DRAM will be down. Looking into 2011 trends, Kumar expects "some sort of order digestion phase for ASML," some contraction of margins as low-margin EUV prototype tools start shipping, and then order growth resuming by the end of the year and into 2012 as the EUV wave arrives with third-generation tools.

INTC's 3Q takeaways: Good news from PCs

Intel's reported 3Q10 sales ($11.1B) and EPS ($0.52) were slightly better than Wall Street expectations ($11.0B and $0.50). Capex target is held at $5.0-$5.4B. Some takeaways from industry watchers:

-- The results were actually "much better than feared" and even "reasonably robust" given worrisome signs of desktop weakness in the channel, noted FBR Research's Craig Berger. Peter Wright from Tradition Equity points out original guidance was $11.6B in sales, but the miss wasn't too bad, with softness in late July/August seemingly reversed in September thanks to servers and price-cut-driven Chinese demand.

-- Deutsche Bank's Ross Seymore notes INTC's 4Q outlook of 3% sales growth "embeds significant caution (half normal seasonality)," with efforts to control both its own inventories and in the channel -- but this is "a prudent guide," he writes, following a below-seasonal 3Q and expected continued soft consumer demand.

-- INTC's 4Q outlook is "clearly not a reset," agrees Credit Suisse's John Pitzer, as inventory will grow only 6% (following 3Q's 2%) which doesn't portend future utilization cuts. Moreover, "PC supply chain significantly under shipped PC consumption in 3Q and is likely to do the same in 4Q -- always a bullish tactical indicator," he notes. While FBR's Berger isn't sure that INTC's 4Q better-than-expected 4Q outlook (sales flat to 7%, 67% gross margins) applies to all PC chip firms, nevertheless he proclaims the global PC inventory correction nearly complete."

No comments:

Post a Comment