Tuesday, December 11, 2012

IEDM 2012: There's a new app for that

A year ago an SST reader, fed up with all-to-familiar conference chaos, told us about an App he'd built specifically to navigate through the International Electron Device Meeting (IEDM), browsing and reading papers and scheduling and mapping to one's heart's content.

This year, the folks at IEDM took up the challenge themselves. Designated the "Official Application for [the] 2012 International Electron Device Meeting," this app lets users view the entire schedule of IEDM papers and sessions, and plan attendance and meetings accordingly. You can mark the sessions and papers you wish to attend, download and read any paper, keep track of daily plans, etc. Search capabilities include paper type (student, late, invited), with updated schedule data. Note that full papers are available only to registered IEDM 2012 attendees; full papers will be downloadable from IEEE Xplore about two weeks after IEDM, but only IEEE members can access them.

Base requirements are iPhone 3GS or newer, iPod touch 3rd-gen or newer, and iPad with iOS 4.0 or later; it also works with Android 2.2 and up. You can download the IEDM 2012 app at iTunes or at Google Play. (If your device isn't compatible with the IEDM 2012 app, you can still use the digital scheduler on a Web-only version, accessible here.)





Friday, December 7, 2012

Another Japan quake: Crisis averted, but impact nonetheless

Nearly two years ago a catastrophe in Japan that literally changed the physical landscape, impacted millions of lives, and rewrote strategies and rules from energy production to supply chains.

Fast-forward to today: a 7.3-magnitude earthquake struck Japan, offshore near Sendai right near where the 2011 disaster began (and possibly even an aftershock), but apparently causing no major damage or serious injuries. It does, though, bring back vivid and painful memories and ever-present worries about infrastructure -- and, specific to this industry, the stability of semiconductor manufacturing operations.

It doesn't take a megaquake such as the 8.9-magnitude Tohoku one to seriously damage a local semiconductor ecosystem. A 7.6-magnitude quake in 1999, for example, shut down semiconductor fabs in Taiwan, and Silicon Valley seized up in 1989's Loma Prieta quake (6.9), points out Jim Handy, analyst with Objective Analysis. Japan, which is intimately familiar with earthquakes and their repercussions, has seen its own semi industry setbacks from far less powerful quakes in the past few years: 5.9-magnitude in Sept. 2008, 6.0 and 6.8 in July 2007, and 6.9 in March 2007. As a result, Japan's infrastructure is better-equipped than most regions to handle them.

That said, it doesn't take much to knock a plant offline as a precaution to minimize and assess damage to facilities and equipment (and workers), and that impacts work-in-progress. Japan is a major source of chips used in consumer electronics devices, particularly memory; it's home to a third of NAND flash production and about 15% of DRAM, with Elpida in particular a significant supplier of mobile SDRAMs in smartphones and PCs, Handy notes. Luckily most of the production and inventory for the year-end holiday push has already occurred, which should minimize much of that supply-chain impact, so "significant shortages are unlikely," though demand for the Lunar New Year might be affected, he says.

But it doesn't take much of a production decrease -- or even fears of one -- to have a dramatic impact on pricing trends, either. Expect "short-term price swings as a result of this earthquake," Handy predicts.

Friday, November 30, 2012

Government chips with DNA: Policy or folly?

The US Defense Logistics Agency (DLA)'s new anti-counterfeiting requirement became effective November 15, mandating that electronic microcircuits it procures must be "marked with botanically-generated DNA marking material." It's a move to address increasing concerns about the proliferance of counterfeit components, which carries the twin worries of reliability and security. Credit to John Keller over at our sister publication Military and Aerospace Electronics who has been tracking this story and hashing out its implications to the military supply-side.

Applied DNA Sciences and Altera have been working on technology which converts plant DNA into genetic codes, to be mixed with ink to mark products or even directly infused into materials. Detectable in the simplest way with a swab or blacklight, the technology is already used in end products including wine, textiles, and European bank notes. James Hayward, head of Applied DNA, flatly states "the strongest claim in the industry [...] which is our DNA cannot be copied."

The Semiconductor Industry Association (SIA), which has more than once voiced its opposition to the DLA measure, argues that it won't solve either problem of part quality or security. "It is clear that there are better, more efficient, and less expensive technologies that accomplish more than simply identifying what entity might have applied the DNA," the SIA asserts. Among its rebuttals to the DNA marking:

  • It adds extra process and costs to existing lines. That's the very opposite of what chipmakers (and any manufacturers) want to hear.

  • It doesn't encompass the entire value chain. Suppliers sell direct to government, and how do you track the original qualified source for parts that have shifted through the market and industry for decades?

  • It doesn't address -- and might even impact -- component performance and reliability.

  • It can be defeated. The SIA says the process could be circumvented by "mimic[ing] the material of the marker when counterfeiting a product," or by coping a marker from a legit device to a counterfeit one.

  • It relies upon a single small supplier. Mulitsourcing is a long-embraced strategy to ensure reliable product quality and availability; should such an important policy and marketplace decision rest on one company's shoulders? (Not to mention potential marketplace-competitive angles.) In its letter to the DLA, the SIA goes out of its way to question Applied DNA's capabilities, from its barely 17-person "operations" staff to its balance sheet and the firm's own public admittance of questionable ongoing viability ("We have sufficient funds to conduct our operations until approximately November 2012"). Note that this week Applied DNA did land another $7.5M in financing from "accredited investor" Crede CG II, so presumably it's bought some time.

    Ensuring total legitimacy of government components is a lofty goal, but unrealistic. It's one thing for Intel to want to track a part cradle-to-grave for a lightning-fast consumer market lifespan or a somewhat more measured B2B environment -- but it's an entirely different animal when that end market is a 60-year-old B-52 for which parts simply no longer exist through any other means besides channel middlemen, Keller explained to us. And for this new policy to truly be effective, shouldn't every component from individual devices to their packaging to integrated system have equal assurance of legitimacy? (How many layers of "trusted" qualifications will this require?) Little wonder, Keller tells us, that chip and electronics distributors to the government are balking at the new rules; eventually that parts pipeline will slow to a trickle and cause even bigger supply headaches for government purchasers.

    The SIA, which has had its own counterfeiting task force since 2006, counterproposes that the semiconductor industry, DLA, and Department of Defense (DoD) should band together "to leverage existing technologies, individual company R&D projects underway, and a multimillion dollar research and development project to select a more effective anti-counterfeit technology." JEDEC also apparently is examining the viability of the DLA's new mandate and choice of technology. Both sides are gathering momentum to make pitches to meetings in the coming weeks. Everyone recognizes the need to address the problem of counterfeit parts, and it's good to push both dialog and action along -- let's hope all sides can continue to do so responsibly.

Monday, November 19, 2012

Intel CEO Otellini retiring; is there a strategic shift?

Longtime Intel (NASDAQ: INTC) CEO Paul Otellini has announced he will retire in May 2013 after nearly 40 years at the company and only the fifth CEO in the company's 45-year history. The chipmaking giant says it will consider both internal and external candidates for the job.

"After almost four decades with the company and eight years as CEO, it’s time to move on and transfer Intel's helm to a new generation of leadership," stated Otellini. He indicated he will be "available as an advisor to management after retiring as CEO."

Otellini "managed the company through challenging times and market transitions," acknowledged Intel chairman Andy Bryant. Indeed, the electronics world these days is far from the one reliant upon PCs in which Intel once dominated when Otellini first took office -- PC demand has waned (and has started to spill over into the enterprise side), macroeconomic malaise continues to stifle demand, emerging markets aren't as hot for PCs as they once were. And despite Intel's push with Ultrabook platforms to target the mobile device upsurge, it's still largely in an uphill battle against ARM-based technologies there.

So let the speculation begin: who's next in line? In the same statement as Otellini's retirement, the company acknowledged the promotion of three new EVPs: Renee James, head of Intel's software business; Brian Krzanich, COO and head of worldwide manufacturing; and Stacy Smith, CFO and director of corporate strategy. One might assume these will be among the internal frontrunners, along with David Perlmutter, current EVP/GM of the architecture group, and Intel Capital head Arvind Sodhani. In a research note, Barclays' CJ Muse points to Intel's history of appointment-from-within as favoring this route.

(Note that a onetime heir-apparent recently left the company: Sean Maloney, EVP and chairman of the company's China group, and former co-GM of Intel's mobility group and its architecture group, stepped down in September of this year. He might be equally well-known, though, for his inspirational comeback from a stroke in Feb. 2010.)

Some think, however, that Otellini's six-month notice might be an indication that an outside candidate is preferred instead of an internally-groomed successor. JoAnne Feeney with Longbow Research is quoted suggesting Intel seeks a more radical shift than just beefing up its mobile arsenal -- it needs to get more explicitly leverage its manufacturing prowess into a business asset, perhaps including a firmer commitment to foundry offerings beyond its current dabblings.

Whomever becomes Otellini's successor, he or she will have "plenty of wood to chop," notes Muse. "While we see no change to Intel's core manufacturing advantage, we think the successor will face many challenges related to Intel's struggle in navigating the declining PC market and difficulties in transition to mobility."

FBR Research's Craig Berger agrees. "Otellini has achieved many successes during his CEO tenure at Intel, and will generally be viewed favorably by history for revenue and earnings growth, and other operational improvements achieved," he writes. "That said, Intel is quite challenged in the mobile arena, with handsets and tablets cannibalizing core PC sales, and with Intel not achieving solid success in handsets or tablets.  [...] We believe the board’s mandate for the next CEO will be to effectively create, implement and execute a low power mobile processor strategy intended to suppress the most recent advances of ARM based architecture."

Friday, September 28, 2012

Inside the iPhone teardowns

In the days leading up to the official unveiling of the iPhone 5, industry watchers made a list of what they expected to be new and improved functionality -- and who would be the key semiconductor suppliers behind those additions. Now the usual teardown labs have had their say: iSuppli, Chipworks, iFixit, and TechInsights (Chipworks in particular has some nice cross-section SEMs of the A6 and the custom ARM core layout), confirming most of what was expected inside the iPhone 5.

Much is similar to or the same as the iPhone 4S components, but there are a few important changes. Among component suppliers keeping their Apple business with the new phone are Samsung, Qualcomm, Murata, Dialog, Texas Instruments, STMicroelectronics, Cirrus Logic, Avago, Skyworks, NXP and AKM, reports iSuppli. Even so, nearly all components has been upgraded.

As expected, several existing suppliers enjoy a major boost in their content contributions in the iPhone 5. Qualcomm ($25-$30 vs. $15), Cirrus Logic ($3.50-$4 in content vs. $1.25), and Skyworks Solutions ($3 vs. $1.25) see big upside, points out Barclays analyst CJ Muse. Others enjoying more of their content in the new iPhone include Broadcom (25% increase in content to $4), Avago ($2.40 vs. $2), and Triquint ($1.40 vs. $1.10).

iSuppli's teardown also confirmed its bill-of-materials (BOM) base estimate of $199 for the low-end (16GB) model; adding some manufacturing costs bumps that to $207. The 64GB model clocks in at $209/$230. None of that includes expenses related to software, licensing and royalties, etc.

Special attention has been given to the new A6 processor, which appears to be a custom-designed Samsung 32nm-fabbed device. Amid increasing higher-level unease between those two electronics giants, so has risen speculation of whether and where Apple might be considering its future alternative chip source(s) -- most industry watchers are betting on TSMC, which is itself bolstering capacity, possibly to take on this future business. Our own blogger Phil Garrou has his own thoughts on this.

Friday, August 31, 2012

Bosch sprouts new market with MEMS lawnmower

It's the Friday before the long Labor Day holiday weekend, and per a multi-generational family tradition I'm heading for the Maine coast. Tucked way in the back of our utility shed is a vintage reel mower that still works, meaning it's not immobilized with rust and can still bruise grass into submission. A much fancier John Deere rider now resides at the front of the shed -- neatly framing a quick history of a century of lawncare evolution.

The next Jetsonian leap is "automated" mower devices, which actually have been around for a couple of decades now (the Institute of Navigation puts on an automated mower competition every summer since 2004). Sales of autonomous lawnmowers grew 35% to €18M with about 50% higher units from 2010-2011 -- in Germany alone, according to Bosch, the conglomerate with all sorts of business units, including two that directly relate here: home & garden tools and MEMS devices. And so earlier this year Bosch tipped its intentions for a robotic mower in Europe, with an official confirmation now available in English.


The Indego robotic lawnmower incorporates a "Logicut" navigation system using different sensors (including, apparently, Bosch's SMI540 compact inertial sensor and touch sensors to manage obstacles) to map out a lawn area, and the mower remembers where it's already cut, so it mows in a systematic pattern instead of randomly like other robotic mowers. This, the story goes, makes for a better cut (no grass is missed, and no areas remowed needlessly) and more efficient as well, up to two-thirds faster than similar mowers that use the same principle. (Users still need to stake out the area with wire and keep the docking station plugged in... and of course some supervision is recommended.) Powered by a lithium-ion battery, it can mow continuously for up to 22 min on a single charge, cutting 150m sq. m of 20-60mm high grass (and mulches the clippings).

Here are a couple of videos of the Indego in action which made the rounds this spring. Anticipated market availability is January 2013 with retail price of €1,499.

Tuesday, July 31, 2012

How fabless works for MEMS: A chat with InvenSense’s VP of business development


July 31, 2012 -- Jengyaw "Joseph" Jiang, VP of business development, InvenSense Inc. (NYSE:INVN), spoke with Solid State Technology about the company’s fabless approach to micro electro mechanical system (MEMS) innovation and its Nasiri-Fabrication (NF)-Shuttle, which launched in 2012 and will soon do a third run.

Photo. Joseph Jiang, InvenSense.


The NF Platform integrates CMOS and MEMS on silicon. The InvenSense NF Platform has been used to produce over 200 million MEMS units to date.

NF-Shuttle allows where mask costs to be split amongst multiple users that purchase real estate on the same mask. The users can validate designs on silicon with a much lower upfront cost than prototyping on their own. InvenSense launched the first shuttle run in January 2012 with select universities, including Stanford University and University of California Berkeley (UC Berkeley). Its second run occurred in May, and the company is currently preparing for a third in December. Stanford and UC Berkeley secured spots on both of these additional shuttles.

Figure. InvenSense's NF Platform.
(Click the image to enlarge.)



The December shuttle is the first that will be opened up to the industry at large. “Opening our proprietary MEMS fabrication process to industry and universities benefits the whole MEMS industry,” said Jiang. The platform can be used for pressure sensors, accelerometers, microphones, etc. It is very difficult to develop MEMS currently, with the 1 product/1 process model, Jiang noted.

As a fabless company, InvenSense works with multiple foundries (GLOBALFOUNDRIES and TSMC) to produce its MEMS devices. This combines fairly standard, high-volume manufacturing processes with innovation in the MEMS design. By sharing the shuttle with other MEMS developers, InvenSense expects the participants to have lower engineering costs and gain access to top-tier foundries. Smaller MEMS companies often work with smaller foundries, which cannot be cost-competitive with the top-tier foundries, nor offer the same capacity, Jiang said. InvenSense benefits by having its manufacturing platform gain adoption throughout the MEMS industry, and licensing it to other MEMS makers.

The fabless model also frees InvenSense from some of the worries about disruptions, such as those from natural disasters, slamming its supply chain to a halt. This is a clear advantage in the semiconductor space, which we are applying to MEMS, Jiang said.

MEMS have always had to compete on cost, size, and performance, much like semiconductors. As MEMS hit milestones in these areas, volumes are steadily increasing. In the future, securing foundry capacity in larger wafer sizes with high yielding processes will need to be a primary goal of MEMS makers.

-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Friday, July 13, 2012

What does healthcare have to do with semiconductors?


July 13, 2012 -- SEMICON West, which just wrapped in San Francisco, CA, gathers all things semiconductor R&D and manufacturing. So why was healthcare such a popular topic at the show? From research organizations (imec, CEA-Leti) to industry roadmappers (ITRS), semiconductor-focused groups are looking at ways to improve healthcare. The refrain that today's healthcare system is unsustainable echoed through many sessions. The semiconductor industry sees plenty of engineering solutions to the healthcare problem.

"We cannot continue with the medical system as it is today" -- Patrick Cogez, ITRS.

The International Technology Roadmap for Semiconductors (ITRS) 2012 update included a new focus on semiconductor device applications, one of which was healthcare. Semiconductors and micro electro mechanical systems (MEMS) offer patient care and health monitoring at home, at a vastly lower cost than hospitalization. Read more about ITRS's applications focus, in partnership with iNEMI, here.

"Semiconductors can change the landscape of modern medicine" -- Andrew Thompson, Proteus Digital Health, imec panel discussion

At the imec Technology Forum co-located with SEMICON West, several speakers looked at the cost of healthcare, our increased lifespans, and different diseases and disease mechanisms (how viruses spread, the needs of chronically ill patients, etc). What options does the semiconductor industry offer? Diagnostic tools today are relatively low tech, and generally captive in a hospital, said Serge Biesemans, VP of wafer technology and smart systems, imec. Microfluidic lab-on-a-chip wafers allow nearly instant analysis for virus and cancer detection, among other uses. With multiplexing on a wafer and advanced microscopy, diseases can be detected earlier. The semiconductor industry can apply its vast experience with Moore's Law to make these tools fast, small, cheap, and accurate, releasing diagnostics from the hospital into doctor's offices and homes.

Sensor technology is evolving to allow health "predictions," much like we predict the weather today. We need to move from reactive healthcare to predictive, and sensors are the conduit, imec argues. MEMS-based sensors that are cheap and portable can produce readings comparable in accuracy to expensive medical equipment and procedures like indirect calorimetry. MEMS, thin-film, and CMOS image sensors under development at imec can analyze sweat, breath, stress, pain, and the composition of skin, to name a few applications.

Thompson, CEO of Proteus Digital Health, spoke about the edible semiconductor concept, where patients swallow a semiconductor "pill" that then sends data to a smartphone. We need to eliminate the doctor, nurse, and hospital building in our thinking about healthcare, he asserted. The technology exists to track medicine intake, sleep, social behavior, and other factors in a patient’s life. And this technology is non-intrusive -- as easy as swallowing a pill or applying a band-aid. Through semiconductor and sensor technologies, we can prevent drastic, expensive medical interventions, like diabetes-related amputations.

All this led up to imec's concept for a cross-disciplinary consortia, from wafer fab to bio lab. imec's vision is to bring together semiconductor stakeholders -- fabless companies, wafer fabs, tool and materials suppliers -- with medical/healthcare stakeholders -- instrument makers, biologists, materials developers, etc.

"The next big thing for semiconductors is healthcare and medical technologies" -- Laurent Malier, Leti.
CEA-Leti develops middle-term research projects on transistor technologies. The work these researchers are doing to enable super computing, photonics and electronics integration, and ubiquitous sensors could be of enormous benefit to medical electronics, said Malier, Leti's CEO. He told me during CEA-Leti's research updates (check out highlights of CEA-Leti's research here) that he expects to be talking a lot about healthcare and medical technologies at SEMICON West next year. Many semiconductor industry professionals have an ah-ha! moment when they learn about the technological needs in medicine, Malier said, because they never considered the two fields to be related before.
In none of these presentations was the political side of healthcare directly addressed, beyond discussions of the cost of care today. In true semiconductor engineering fashion, these research and roadmapping groups see a problem and envision a technological solution.

-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Comments:
_________________________________________________________________
From jane walts:

thanks for this, surely this is a great help
Registered Nurse Salary in Maine

Wednesday, July 11, 2012

The energy behind energy at SEMICON West


July 11, 2012 -- SEMICON West opened yesterday with a keynote by Shekhar Y. Borkar, Intel Fellow and director of extreme-scale technologies at Intel Corporation. Borkar focused on the energy demands of ubiquitous computing, and how technologies developed for super computers will later be adopted into smartphones. The energy demands of today's transistors, Borkar says, will not be sustainable at the exaflop data rate. At CEA-Leti's presentations later that day, researchers agreed. So how can we achieve a new power consumption paradigm?

Different transistor-level technologies can address reducing power consumption. Borkar shared Intel's 22nm 3D trigate transistor and voltage scaling at the circuit level. Scaling down the power supply voltage enables higher energy efficiency. He also discussed near-threshold voltage (NTV) operation, because energy efficiency peaks at the transistor's threshold voltage. Intel's trigate design reduces the required supply voltage and can be tuned for different thresholds. Intel has developed an experimental NTV processor to demonstrate this work. Get a look inside the trigate transistor in blogger Dick James' Intel's 22nm trigate transistor exposed

Borkar also discussed the use of systems on chip (SoC) for targeted efficiency and flexibility -- using single-purpose blocks that are extremely energy efficient along with the flexible blocks, such as microprocessor transistors, to make a chip accomodate various operations. Borkar calls this "valued performance."

Other energy-saving device architectures include stacking DRAM memory with a logic buffer to direct access to a specific page. Intel is developing this concept with Micron, calling it a Hybrid Memory Cube. Learn more from blogger Dr. Phil Garrou's The Micron Memory Cube consortium
Finally, Borkar shared some unconventional interconnect strategies for package-to-system energy savings, such as top-of-package interconnect. He stressed that circuits and interconnects should be co-optimized to maximize energy efficiency.

At CEA-Leti's research meeting, Hughes Metras, VP of strategic partnerships in North America, also projected that the next step in super computing, exascale, would be insupportably energy intensive. Leti's solutions to the energy and bandwidth demands of future computing include a planar fully depleted silicon on insulator (FDSOI) transistor architecture, silicon photonics for light-based data communication rather than electrical, and 3D integration for lower-loss and shorter interconnects.

Maud Vinet, Leti assignee at IBM, focused on planar FDSOI transistors. The benefit of planar technology is that we already have the major of the wafer processing technologies we need from bulk CMOS. The biggest change is that planar FDSOI uses extremely thin (a couple nanometers) silicon films, so extra attention must be paid at any step where silicon could be lost. The smaller gate lengths of planar FDSOI prevent parasitics, for faster operation. Back bias allows the device's threshold voltage to be tuned, a concept discussed during Intel's keynote as well. Other elements -- strain on the NFET, silicon germanium (SiGe) for the PFET -- combine in the planar FDSOI to enable 30% less power dissipation, or wasted energy, than bulk transistors.

We'll have more information from CEA-Leti's research presentatons, including the design and manufacturing perspectives on 2.5D/3D integration, on the Solid State Technology website, with a series of video interviews with the researchers. Also watch for a video interview with Intel's Borkar summarizing his keynote's main points. You'll find it all in Solid State Technology’s coverage of SEMICON West 2012.
 
-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Tuesday, July 10, 2012

SEMICON West buzz: Curtains up for 450mm wafers

July 10, 2012 -- In the pre-show conferences and press releases leading up to SEMICON West, 450mm wafer development is getting a lot of attention.



Research organization imec received a major government investment to build a 450mm cleanroom. I spoke with imec president and CEO Luc Van den hove about the 450mm announcement.



ASML launched a program wherein customers can buy minority stakes in the tool maker, which will fund EUV lithography and 450mm development. Intel is the first taker with a 15% share in ASML.



KLA-Tencor installed its first process control systems for handling and inspecting unpatterned 450mm wafers, the automated Surfscan SP3 450 defect and surface quality characterization tool. KLA-Tencor says it has received multiple orders for its SP3 450mm-capable tool and shipped several systems (imec is using one).



CyberOptics came out with a 450mm version of its WaferSense Auto Vibration System, AVS450. The product is a wireless wafer-life vibration monitoring device that travels through the entire path of a wafer to measure vibrations of wafer transfers in x, y and z dimensions during semiconductor processes and fabrications and report real-time acceleration data in three axes for engineers to identify vibration anomalies during wafer processing.



This is just a sampling of what is sure to be a week filled with 450mm discussions. 450mm development has been waiting in the wings for a long time. If the pre-SEMICON West buzz is to be believed, now is its time to take center stage.



SEMICON West 2012, http://www.semiconwest.org/, opens in just a few hours in the Moscone Center in San Francisco, CA. My first event will be today's keynote, "Ubiquitous Computing in the Coming Years--Technology Challenges and Opportunities" by Intel's Shekhar Y. Borkar. See you there!


Stay on top of all the news and new product announcements from the show with our SEMICON West Channel, http://www.electroiq.com/semicon_west_2012.html



--Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Twitter: @solid_statetech

Tuesday, June 26, 2012

Smart, less-expensive touchscreens bring interactive learning to the classroom


June 26, 2012 -- At Display Week this month in Boston, I spent some time with Stantum, a touchscreen development company, speaking with Guillaume Largillier, founder and chief strategy officer and Robert Pelissier, chief executive officer. Stantum had recently announced a major partnership with Nissha Printing Co. Ltd., but the conversation revolved around the many enabling roles that this well-designed touchscreen can play, particularly for the education market.


This isn't the first time education applications have come up in a conversation about displays -- Mariquita Gordon of Texas Instruments referred to the educational display market as an opportunity outside of the traditional realm when I spoke with her a few months ago.

Stantum's Largillier and Pelissier pointed out that touchscreens can do much more than replace a mouse and computer monitor -- multitouch and connectivity allow students to interact with teachers and manipulate information on their screens to learn in a more natural and engaged way. Technology in a classroom is no guarantor of success, but technology that brings students into the lesson can vastly improve understanding and information retention. We spent some time in Stantum’s demo room at the show playing with the touchscreens, experiencing the different touch inputs' enabling properties.


FineTouch Z multi-touch touchscreen technology is able to combine -- on one sensor -- finger and passive stylus input. FineTouch Z is powered by Stantum's Interpolated Voltage Sensing Matrix (iVSM) touch-and-write technology. This is a less expensive combination than finger + active stylus (electro-magnetic or a battery-powered) technologies, which use electromagnetic resonance (EMR) sensors on the bottom of the panel and projected capacitance (PROCAP) sensors on the top. It also results in a simpler and thinner display. FineTouch Z boasts optical clarity and works with any type of conductive or non-conductive object, Stantum reports.


The stylus and finger input combine to enable content creation or highlighting on a device -- for example a tablet in a classroom -- much like a user would work on paper. As many as 10 simultaneous touches can be combined with high-resolution handwriting input. The interactivity inherent in electronics leads to project sharing, note taking, and textbook replacement all on one display.


Stantum's representatives pointed out that countries -- Brazil and Turkey to name a few -- are seeking solutions to phase out paper textbooks. If touch technologies can bring the enhanced performance, reliability, and price benefits in one simple package, the education market could be a high-volume and enduring end-use sector.


-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Friday, June 15, 2012

The view from Display Week: Kyocera’s new display fab technologies

June 15, 2012 -- Display Week, a conference and exhibition hosted by the Society for Information Display (SID) this month in Boston, was a chance for Kyocera, newly Kyocera Display Corporation, to highlight a range of display technologies, with varying performance and price points. I spoke with Bill Hanna, VP of automotive sales for the Americans and Jessica He, marketing manager, at Kyocera's booth.

Kyocera produces all the components for their displays, with thin-film transistor (TFT) and low-temperature polysilicon (LTPS) liquid crystal display (LCD) processing lines. Kyocera’s LTPS LCD technology offers significantly higher carrier (electron & hole) mobility than amorphous silicon, resulting in a higher pixel density, wider viewing angles, higher resolution on smaller screens, a slimmer form factor with lighter weight (due to integration of CMOS drivers on the panel), faster response time and reduced power consumption, the company says. While Kyocera is turning to LTPS for some applications, not every display needs to transition from TFT LCD. Many customers are asking for touchscreen functionality, which Kyocera offers in low- and high-volume assembly.

One of Kyocera’s new technologies, Super Wide View (SWV), offers an 85 degree viewing angle top/bottom and left/right thanks to new materials and structure replacing traditional twisted nematic (TN). SWV aligns the liquid crystal cells in a horizontal direction, In-Plane-Switching, so that the crystal molecules spin in parallel to the panel plane instead of perpendicular to it, reducing the amount of light scattering in the matrix, Kyocera reports. Applications range from industrial to medical and automotive. See a comparison of SWV and TN displays below.

Kyocera's displays are used in many automotive applications, and the company featured a round 2.5” display at the booth. The unique glass design fits nicely into automotive consoles, but is more challenging to cut than a typical panel. Other considerations for automotive? Form factor, and high light output for a start, Hanna said.

Kyocera Display also highlighted its new Direct Glass Bonding Technology that laminates a protective glass overlay or a touch screen on the top of the display. It reduces light reflection by 70% when compared to a conventional bonding process. Displays used outdoors are generally covered with protective films to prevent water damage and scratches. However, this creates an air gap on top of the LCD, causing the display to become less visible and creates color shift due to the glare on the surface, Kyocera says. Kyocera Display’s new glass bonding technology improves readability with lower reflection, more intense blacks, and more accurate colors. A glass-glass (GG) structure brings the polarizer on top, reducing the inner reflection from the LCD surface. It is very compatible with In-Plane-Switching.

Finally, we took a look at Kyocera's value line, with lower optical performance and lower price points. These designs are supporting the general move to display technology in everything from white goods to high-volume/low-cost consumer products. The line launched in 2011 and Kyocera is expanding it with ten new products. The products still offer LED backlights, slim bezels, and low weight and power consumption, with good display performance.



Read more about Display Week in these articles:


-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Thursday, June 7, 2012

The view from Display Week 2012: Glass tech at AGC


June 7, 2012 -- This week, I attended my first Display Week, the conference and exhibition hosted by the Society for Information Display (SID), in Boston. Organic light-emitting diode (OLED) and touch displays were predictably top of mind at many of the booths, as was lower-cost/higher-productivity/higher-reliability production.



First, to Asahi Glass Co. (AGC), a glass major supplier, to speak with Takahiro Ikezaki, VP of the Electronics Glass Materials Division. Roll-to-roll glass processing is a future technology that would enable lower-cost fab than sheet-to-sheet. Ultra-thin glass eliminates acid-based thinning steps to get the weight and thickness benefits of thin displays. However, the technology's major challenge is it requires entirely different glass handling and tools than sheet-to-sheet. In the interim, AGC proposes using carrier glass, a thicker glass sheet onto which ultra-thin glass is laminated. The benefits of thin glass -- light weight, thin displays -- are realized without the production change.



AGC also discussed the company's chemically strengthened Dragontrail cover glass. Dragontrail is chemically strengthened before the sheet is cut into panels for mobile displays, rather than the traditional method of cutting then treating. The result is equal performance but lower cost and a thinner panel. AGC noted that OLED-processing-compatible glasses -- those that tolerate higher temperatures -- are increasingly in high demand.



What’s on the docket for glass innovations? Stronger glass thanks to better bevel cutting technologies, and anti-fingerprint coatings for touch panels.



Outside of the display arena, glass manufacturing technologies could benefit semiconductor packaging (interposers) and micro electro mechanical systems (MEMS).



I visited with OLED and LCD makers at Display Week, as well as touch developers. Stay tuned for more news from the show.



-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Friday, May 25, 2012

You make MEMS. Should you make sensor fusion software?


May 25, 2012 -- Sensor fusion is a software solution to improve the operation of multiple micro electro mechanical system (MEMS) sensors in concert. Through sensor fusion, gyroscopes, accelerometers, pressure sensors, magnetometers, and other devices can be operated together to compensate for inherent sensor weaknesses and enable new precision and new applications. “Combination sensors are a marginal player now but expected to penetrate 40% of the $2.7 billion consumer inertial market and 12%+ of the $1.1 billion automotive inertial market by 2016,” according to Yole Développement.

With 5-10 MEMS devices integrated into every smartphone, tablet, and other electronics, sensor fusion can be a differentiating factor in product capabilities and performance. No surprise then that so many companies -- software suppliers and MEMS makers -- are increasing their efforts in sensor fusion development.

I recently spoke with Leopold Beer, marketing leader at Bosch Sensortec, the consumer MEMS division of Bosch, about a new inertial measurement unit that was developed concurrently with gen-2 of Bosch’s sensor fusion software, FusionLib. “The hardware and software are not 2 separate new products,” said Beer, “they work together. The physics of sensing are the same as they were 10 years ago,” he added, “we can provide better products because of miniaturization and sensor fusion.”

MEMS manufacturers are the best companies to do sensor fusion development, not software suppliers, because they understand the performance and physics of each MEMS device intimately, Beer said. MEMS are a highly varied group of semiconductors, with little standardization from one to the next. And every MEMS sensor performs differently. “When designing a system using multiple MEMS sensors, it is important to understand the advantages and disadvantages of accelerometers, gyroscopes, magnetometers, and pressure sensors,” said Jay Esfanyari et al, STMicroelectronics, in our July 2011 cover article, “Solutions for MEMS sensor fusion.” STMicroelectronics is a major manufacturer of MEMS components. Beer envisions handsets and other electronics that use device-specific sensor fusion to optimize and calibrate MEMS performance, and some level of hardware-agnostic sensor fusion that connects all the sensors in a device, if they are not all from the same manufacturer. Detailed knowledge of sensor fusion techniques is also important to the system as a whole, because some algorithms lead to higher power consumption than others, and some MEMS devices draw more power than others. A sensor fusion strategy should be selected for, in addition to improving performance, its impact on system-level power consumption, noted Esfandyari et al.

Another group of companies provides sensor fusion software -- hardware-agnostic software developers. Hillcrest Labs and Sensor Platforms both released sensor fusion programs recently. Motion control software can “limit sensor choice, integration flexibility, and performance” in the system design, said Chad Lucien, senior vice president of sales and marketing for Hillcrest Labs. The major benefit of software-company sensor fusion is that MEMS can come from any manufacturer in the marketplace. For high-volume applications that require secondary sourcing of components, a hardware-agnostic sensor fusion platform eliminates supply bottlenecks.

The MEMS supply chain will change, according to Yole, as companies "figure out how best to compete and cooperate for the much bigger business of integrating the silicon sensors into useful functions...Players in the MEMS industry can compensate for price declines by selling high-value solutions that include more software content. Higher-value software calculations might require an MCU, not the usual ASIC. Makers of microcontrollers, software, and subsystems will start to take over more of the sensor management.”

As MEMS become more pervasive in everyday life, industrial and military instruments, vehicles, and other applications, sensor fusion will be an increasingly important consideration, and a potential differentiator for MEMS manufacturers.

--Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Wednesday, May 2, 2012

Entegris tour covers the leading edge of filtration, 450mm, and the importance of collaboration


May 2, 2012 -- Solid State Technology recently visited Entegris’ Billerica, MA, location to discuss the contamination challenges of leading-edge semiconductor fabs, the progress made or not made by the semiconductor industry on 450mm, and Entegris’ new i2M Center for Advanced Materials Science. We poked our heads into various engineering labs to watch filter development in action, see how filter membranes are folded or stacked for best contamination control and materials throughput, and learn about new materials that create cleaner fab environments.

Semiconductor wafer fabs are the leading edge for contamination control and sterility across any industry sector, said John Puglia, Ph.D., director of research development and engineering at Entegris. “I could bring a semiconductor wafer into an operating theater in a hospital, but if I tried to bring it back into a wafer fab, they wouldn’t let me past the parking lot!” Puglia said.

Device nodes are so fine now, that things “we took for granted” in the past now cause problems, he added. Contamination can occur from the polymers in the filter, which prompted Entegris to change from commodity to engineered resins for its raw materials. The lining in a bulk chemical tank far upstream matters now at the wafer level. Gas filtration is needed in front opening unified pods (FOUPs) to prevent moisture contamination of wafers. People are walking sources of fab contamination as well, despite cleanroom attire.

This ever-increasing attention to contaminants at the leading edge informs products for mature nodes as well. Yields can be improved at the larger nodes with enhanced air and liquid filtration, and the data from these high-volume applications will inform leading-edge product development.

Jennifer Braggin, strategic applications technologist at Entegris, says the way to move forward and resolve contamination control/throughput challenges is through more and more collaboration. Customers request it, she said. Working with research organizations like imec directly benefits Entegris’ product lines, today and for future products, she added, praising the open environment at imec that allows suppliers and chipmakers from all steps in the chain to interact.

We also had a chance to consider 450mm while visiting Entegris. The size of the 450mm opportunity is smaller than the opportunity at 300mm was, which was in turn smaller than the opportunity at 200mm, said Bertrand Loy, EVP and COO at Entegris. Meaning, equipment suppliers will not see as many orders for 450mm tools as they did in the previous wafer size transitions. A small number of chip makers dominate the industry now, however, and if those suppliers begin to really demand 450mm, equipment makers will need to support the move. Referring back to the chip fab/supply chain collaboration that Braggin described, the team at Entegris said consolidation in the chip manufacturing sector actually improves supply chain collaboration, as tool suppliers and infrastructure support companies build R&D teams around “mega companies” making semiconductors.

We’ll be back to visit Entegris when their new i2M Center for Advanced Materials Science goes up nearby in Bedford, MA. Some of the filtration labs we saw on this trip will move into the new location, joining -- for intra-supplier collaboration -- their colleagues working on electrostatic wafer clamps (E-Chucks) and proprietary advanced low-temperature coatings. You can read about the Entegris i2M Center for Advanced Materials Science here.
-- Meredith Courtemanche, digital media editor, Solid State Technology, meredithc@pennwell.com

Thursday, March 29, 2012

Semiconductor and display fab trends gleaned from AMAT's Analyst Day

Applied Materials Inc. (AMAT) is the leading supplier of semiconductor fabrication
fab equipment to the global semiconductor industry. After Applied Materials' (AMAT) Analyst Day this week, Citi, Barclays Capital, and Credit Suisse share their bullet-point takeaways about the semiconductor and related manufacturing industries, gleaned from Applied's presentations:

There are several positive trends in semiconductors, Barclays notes: increasing
process steps in the move to 2Xnm/1Xnm nodes, the move to 3D NAND, and a sustainable wafer fab equipment (WFE) spend. AMAT believes the macro environment for WFE spending is still very strong and expects this year to be the third year of $30+B in WFE spending, Barclays reports.

On the mobility front, AMAT sees a combination of strong consumer pull, silicon functionality, and process complexity driving a sustained wafer cycle, with potential for foundry capacity additions of over 1 million wspm from 2012-2014.

In the displays fab sector, a mix shift to metal oxide and low temperature polysilicon [LTPS] capacity is intensifying capital expenditures, although the display industry as a whole is dampened by low utilization rates and dropping panel costs.

Investment into large sized a-Si LCD capacity has almost come to a standstill, Barclays reports. The industry is shifting from LCD to organic light emitting diode (OLED) displays, Citi says. But expect recovery in large-sized panel capacity spending -- AMAT estimates another ~13 new Gen 8.5 fabs will be required between now and 2015 to meet end demand -- as well as a continued ramp in high resolution small/medium sized capacity and touch panel capacity for mobile displays.

Applied Materials highlighted 3 "megatrends" for the coming years:
1. The ramp in mobility devices, which is driving increased demand for high performance and high efficiency chips, increased use of Flash memory, and growing penetration of high-resolution touch displays.
2. The growth of emerging end-markets, which is elevating the purchasing power of ~1B new consumers.
3. A continued focus on clean energy, which is fueling the cost per Watt declines in solar and growing solar installations.

Applied Global Services (AGS) sector notes a trend of declining 200mm demand and utilizations offset by stronger wafer starts.

On the packaging side, AMAT noted that copper interconnect is becoming the de-facto standard in the back-end of the line (BEOL) process, for chip-level interconnect.

On the light-emitting diode (LED) side, Applied Materials de-emphasized comments on metal-organic chemical vapor deposition (MOCVD), an important tool in LED manufacturing, Credit Suisse notes.

Applied Materials also noted that it has increased its R&D spending for new technologies such as 450mm wafer processing, Citi points out.

And Applied Materials, as well as most other semiconductor manufacturing equipment providers, is adjusting its business model as its customer base consolidates.

You can also check out the previews for AMAT's Analyst Day here, or check out the reports from last year's Analyst Day here.

-- Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Wednesday, March 28, 2012

ElectroIQ is now Solid State Technology!

Our site has a new name, but it's one you already know: Starting today, ElectroIQ.com will re-brand under Solid State Technology. This closely matches with the design of Solid State Technology magazine, which incorporates technical features for semiconductor, MEMS, displays, and LED manufacturers and packaging providers.

Looking for photovoltaics manufacturing content? Don't worry, you can find Photovoltaics World articles and archives on our sister site, RenewableEnergyWorld.com. Photovoltaics World is part of the Renewable Energy World network, and has relocated to better fit with related content in that business. For your convenience, we have a link to Photovoltaics World's home still on the navigation bar.

On Solid State Technology's website, you'll find all of the electronics manufacturing articles and archives focused on the semiconductors, semiconductor packaging, MEMS, display, and LED industry sectors. We recently added dedicated channels for displays and LED manufacturing, and will continue to provide in-depth, technical, and timely news and articles on all aspects of solid state technologies.

--Meredith Courtemanche, digital media editor, meredithc@pennwell.com
Happy Pi Day!

Friday, March 9, 2012

Texas Instruments (TI, TXN): Trouble with wireless, analog is stable

March 9, 2012 -- Texas Instruments Incorporated (TI, NASDAQ:TXN) narrowed and lowered its expected ranges for revenue and earnings per share (EPS) in Q1 2012. The reductions are due to lower demand for wireless products, TI said in its report (PRNewswire).

Revenue: $2.99-3.11 billion
EPS: $0.15-0.19

Analysts' take:
This is TI's fourth mid-quarter update cut in a row, noted FBR Capital Markets. The company's entire $100 million revenue cut was attributed to wireless shipments, mainly OMAP sales as well as connectivity. Contributing factors include Q1 2012 sales seasonally falling after an exciting Q4 2011 with new OMAP design wins and initial orders, and chip/device inventory reduction activities also pressuring TI, FBR reports. Short lead times imply soft demand and weaker OMAP, warned Sterne Agee analysts, who also see near-term gross margin challenges for TI due to an under utilized (currently at about 50% capacity) fab base.

OMAP suffered from a lack of high profile design wins at the International CES and the Mobile World Congress (MWC). Some designs may have been sidelined in anticipation of OMAP 5, TXN's dual core A15 OMAP refresh expected in 2H12, FBR asserts, but notes that "OMAP has lost some of its differentiated value proposition" in the face of competitive products. TXN is not expecting an OMAP rebound, says Sterne Agee.

The analysts expect a Q2 2012 reacceleration, noting that "TI has done a good job of focusing on its analog core, building competitive barriers, and growing scale." OMAP and Connectivity "are not the most critical parts" of Texas Instruments, agree the analysts at Barclays Capital. Despite the guidance cut, analog is tracking in line, led by automotive/communications infrastructure/industrial applications, they point out. With "order growth, backlog, and visibility improving," TI should see Q2 begin an upward climb.

Texas Instruments decided to close 2 semiconductor fabs, one in Texas and one in Japan, earlier in 2012.

--Meredith Courtemanche, digital media editor, meredithc@pennwell.com

Monday, February 20, 2012

Is semiconductor capex spending beating expectations? AMAT's Q1 results, Q2 guidance

February 20, 2012 -- Applied Materials Inc. (Nasdaq:AMAT) is one of the largest and broadest makers of semiconductor manufacturing and packaging equipment, as well as tools for display and solar photovoltaics fab. The company's strong Q1 results, and positive guidance for Q2, have many analysts questioning whether 2012 is going to be as grim a semiconductor capital expenditures year as previously thought.

This one sentence from AMAT chairman and CEO Mike Splinter is echoing around the industry: "In semiconductor, 2012 is shaping up to be the year of the foundry."

Display tool orders were $40 million, reflecting ongoing weakness in LCD TV equipment demand. Energy and Environmental Solutions (EES) orders were $33 million, down 62% from the prior quarter, reflecting solar industry overcapacity. But the Silicon Systems Group of AMAT saw a 53% jump in orders from Q4 2011, to $1.42 billion, reflecting strength in global semiconductor foundries and positive effects from AMAT's buy of Varian.

"Global demand for mobile devices is driving a third consecutive year of strong capital investment by semiconductor customers," said Splinter. Chipmakers such as Intel Corp (INTC.O), AMD (AMD.N) and contract foundries such as TSMC (2330.TW) are seeing more demand amid rising sales of smartphones and tablets, added Reuters news service.

AMAT's order backlog decreased by $230 million from Q4 2011 to $2.2 billion.

For the second quarter of fiscal 2012, Applied expects net sales to be up 5-15% sequentially. Look for the Silicon Systems Group to do better, 15-25%, AMAT forecasts. "We see solid order momentum and an improved outlook overall for our second quarter," Splinter said.

Applied generated orders of $2.01 billion and net sales of $2.19 billion in Q1. Get AMAT's full Q1 report here.

-- Meredith Courtemanche, digital media editor

Sunday, January 22, 2012

Intel's (INTC) 2011 earnings, Q1 outlook, and executive reshuffle

January 22, 2012 - BUSINESS WIRE -- Intel Corporation (NASDAQ:INTC) reported full-year revenue of $54 billion, operating income of $17.5 billion, net income of $12.9 billion and EPS of $2.39 -- all records for the semiconductor company. The company generated approximately $21 billion in cash from operations, paid dividends of $4.1 billion and used $14.1 billion to repurchase 642 million shares of stock.

Intel had initially downgraded its Q4 2011 expectations in light of the supply chain disruptions caused by Thailand's floods, as well as general economic conditions. For the fourth quarter, Intel posted revenue of $13.9 billion, operating income of $4.6 billion, net income of $3.4 billion and EPS of 64 cents. The company generated approximately $6.6 billion in cash from operations, paid dividends of $1.1 billion and used $4.1 billion to repurchase 174 million shares of stock.

Intel grew revenue by more than $10 billion, noted Paul Otellini, Intel president and CEO, fresh off a keynote appearance at International CES 2012. "We're excited about the global growth opportunities presented by Ultrabook systems, the data center, security and the introduction of Intel-powered smartphones and tablets" in 2012. Also read: Intel Press Briefing and Keynote at CES 2012 by Dick James

Full-year 2012 (GAAP, unless otherwise stated), Intel had a 64% gross margin (65% Non-GAAP), excluding amortization of acquisition-related intangibles. The chip maker spent $18.3 billion (plus/minus $200 million) on R&D ($10.1 billion) and MG&A. Full-year capital spending hit $12.5 billion, plus/minus $400 million. In 2012, amortization of acquisition-related intangibles was approximately $300 million. Depreciation: $6.5 billion, plus or minus $100 million. INTC's tax rate was about 29%.

Table 1. INTC's non-GAAP financial performance.
Annual 2011 2010 vs. 2010
Revenue $54.2 billion $43.6 billion up 24%
Gross Margin 63.6% 65.5% down 1.9 pts.
Operating Income $18.4 billion $15.7 billion up 18%
Net Income $13.7 billion $11.5 billion up 19%
Earnings Per Share $2.53 $2.02 up 25%
Non-GAAP results exclude certain acquisition accounting impacts and expenses related to acquisitions and the related income tax effects of these charges. Also, McAfee Inc. and Intel Mobile Communications contributed revenue of approximately $3.6 billion in 2011 and were not included in the results for 2010.

Table 2. INTC GAAP financial Comparison.
Annual 2011 2010 2011 vs. 2010
Revenue $54.0 billion $43.6 billion up 24%
Gross Margin 62.5% 65.3% down 2.8 pts.
Operating Income $17.5 billion $15.6 billion up 12%
Net Income $12.9 billion $11.5 billion up 13%
Earnings Per Share $2.39 $2.01 up 19%

Intel's Q1 2012 outlook (GAAP, unless otherwise stated) includes $12.8 billion, plus or minus $500 million in revenue, 63% gross margin and 64% Non-GAAP (excluding amortization of acquisition-related intangibles). R&D and MG&A spending will total approximately $4.4 billion. Amortization of acquisition-related intangibles will be approximately $75 million. Depreciation: approximately $1.5 billion. Intel plans to report its earnings for Q1 2012 on April 17.

"The strength of this revenue guidance is somewhat puzzling as we do not believe 4Q11 CPU shipments were far below consumption, given trends toward ocean freight in mid 2011 and the cannibalistic impacts from smartphones and tablets. Indeed, visibility into 4Q12 revenues is low for everyone, and we wonder why Intel's guidance is so aggressive here given macro overhangs, ramping sales of smartphones and tablets, and continuing investor doubts about PC unit growth rates. Stepping back, we do think Intel has sustainable competitive advantages in manufacturing, and its execution is top notch with a robust product roadmap, process leadership, technology leadership (high-K, 3D transistors), and scale advantages. This is somewhat tempered by ongoing growth of tablets and smartphones, with some Windows on ARM (WoA) risks, too," announced FBR Capital Markets.

"We continue to model only modest PC growth which drives our outlook for only 4% revenue growth in 2012. We applaud Intel for its execution and strong cash flows but need greater confidence on the company's growth drivers to believe shares will outperform other semi names at this point in the cycle," input Barclays Capital. "Note that while Intel suggested that [wafer fab equipment] WFE as a percent of capex would decline in 2012, this still points to WFE spend of at least $7B, suggesting their WFE spend should head higher year over year," the Barclays analysts added.

Following its record 2011 results, Intel announced several top-level changes at the company, with a new COO, chief product officer, and group heads, among other changes:

Andy Bryant will move from vice chairman of the board to full-time executive chairman at the company’s Annual Stockholders’ Meeting in May. Intel promoted Brian Krzanich to chief operating officer, reporting to Paul Otellini. Krzanich had previously been a senior vice president in charge of Intel’s worldwide manufacturing. In his new role, Krzanich will continue to oversee manufacturing and also take on responsibility for internal IT and human resources, functions that previously reported into Bryant.

Dadi Perlmutter is being promoted to chief product officer. Perlmutter will continue to lead the Intel Architecture Group and continue reporting to Otellini.

Stacy Smith, senior vice president and chief financial officer, will now report directly to Otellini.

Bill Holt, senior vice president and head of Technology Development, will also now report directly to Otellini. He, too, had reported to Bryant. Holt and Krzanich will continue to co-manage the Technology and Manufacturing Group, allowing Intel to maintain the critical, close collaboration between semiconductor process technology development and manufacturing.

Kirk Skaugen, Intel vice president and head of Intel’s data center business, will become the new head of the PC Client Group (PCCG), succeeding Intel Vice President Mooly Eden, and reporting to Perlmutter. After 9 years in the United States, Eden is moving back to Israel at his request and will assume the position of president and general manager, Intel Israel, reporting to Perlmutter. While in the United States, Eden led Intel’s mobile PC business before being promoted to run PCCG, Intel’s largest product group, in 2009.

Diane Bryant, Intel vice president and CIO, will lead the data center business and succeed Skaugen as general manager of that group. She will report to Perlmutter. Kim Stevenson, vice president of IT Global Operations and Services, will succeed Diane Bryant as CIO and report to Krzanich.

Q4 and 2011 Key Financial Information (GAAP)


Q4 Business unit revenue:
PC Client Group revenue of $9 billion, up 17% year-over-year.
Data Center Group revenue of $2.7 billion, up 8% year-over-year.
Other Intel architecture group revenue of $1.1 billion, up 35% year-over-year.
Intel Atom microprocessor and chipset revenue of $167 million, down 57% year-over-year.
McAfee Inc. and Intel Mobile Communications contributed revenue of approximately $1 billion.

Full Year Business unit revenue:
PC Client Group had revenue of $35.4 billion, up 17% from 2010.
Data Center Group had revenue of $10.1 billion, up 17% from 2010.
Other Intel architecture group had revenue of $5.0 billion, up 64% from 2010.
Intel Atom microprocessor and chipset revenue of $1.2 billion, down 25% from 2010.
McAfee Inc. and Intel Mobile Communications contributed revenue of $3.6 billion.

All INTC's Q4 and 2011 numbers can be found at on our Financial Content page. A detailed discussion of these and other factors that could affect Intel’s results is included in Intel’s SEC filings, including the report on Form 10-Q for the quarter ended Oct. 1, 2011.

Intel (NASDAQ: INTC) is a world leader in computing innovation. Website: http://www.intc.com/.

Tuesday, January 17, 2012

Samsung plans record investments in 2012

January 17, 2012 -- Samsung Group, which includes Samsung Electronics Co, is raising its 2012 investment to a record $41.4 billion (47.8 trillion won), the company said in a statement (in Korean). Miyoung Kim, Reuters, says that this record amount underscores "the widening gulf between the dominant South Korean conglomerate and its faltering competitors." The money will be divided up for facilities, R&D, strategic acquisitions, and staffing.

Samsung Group did not provide a breakdown of the 47.8 trillion won investment for Reuters. Kim expects that Samsung is spending on its logic chips and organic light emitting diode (OLED) displays, hoping to see success like that of its flash chips, computer memory chips and LCD flat-screens. Turner Investments recently noted that Samsung's position as one of the top 3 media tablet makers will put it in a strong position, next to Apple and Amazon.com, in this emerging growth market. Also read: 7 semiconductor and display suppliers to benefit from tablet wars

Samsung's capital spending will rise 11% year-over-year to 31 trillion won. 80% of the capex will come from Samsung Electronics and its display unit. Reuters' Kim expects a 7.5 trillion won investment in system chips (mobile processors and sensors) to exceed spending on memory chips for the first time, and by about 1 trillion won. OLED investment could hit 7 trillion won. Lesser amounts will go to LCDs, rechargeable batteries and LEDs, Reuters reports. Worldwide, Korea is the only region expected to show growth in semiconductor fab equipment spending in 2012, mainly due to expected spending by Samsung, says SEMI. Also read: Semiconductor fab capex forecast for 2012

Samsung also said that it will add a record 26,000 employees this year, despite "worldwide economic difficulties and uncertainties." Samsung now employs around 350,000 workers. Samsung's Q4 2011 earnings are estimated (prelim.) at about 47 trillion won, with a record 5.2 trillion won profit.

The Wall Street Journal and other outlets quoted Samsung Electronics as planning a $1billion bond sale from its US unit, the first bond sale in many years.

Samsung Group comprises around 80 companies. Its business portfolios expand from financial, construction and shipbuilding to medical services and consumer electronics and total revenues account for some 20% of South Korea's 1,200 trillion won GDP.
------------------

Wholesale Printing said:

Excellent post. Good Admin. By Regards Door Hangers

Thursday, December 15, 2011

Cleaner lines in the new ElectroIQ.com

Hello again readers! I recently told you about some new functionalities on the ElectroIQ.com website, but that's only part of our redesign work. Looks are important too, and organization even more so.

Very soon, you'll see a lighter color scheme on ElectroIQ.com. We've pared down the blue and red in favor of a cleaner palette on the site, with a lot of grey and white. Does this matter? Not in the strict sense of will the information you're reading, watching, or listening to be affected, but the new color scheme is here to make it easier for you to consume information from ElectroIQ without distractions.

Speaking of paring down, we've also eliminated the barrier between "Current Articles" and "Industry News" that appeared throughout the site. Let's say you're visiting the Semiconductors channel page. you'll see all the more recent stories in one column. Are we abandoning industry news stories, or technical articles about process steps? Certainly not. We've decided to group content with the fewest barriers possible so you can find it more easily.

Another quick note on those channel and topic center pages: We've renamed "Wire News" to "Live News Stream."

As always, you can email me with questions or suggestions at meredithc@pennwell.com. Keep an eye out for our new look!

Your digital media editor,
Meredith Courtemanche

Tuesday, December 13, 2011

Reading into INTC's 4Q downdate: HDDs, PCs, and SSDs

The impact of the Thailand flooding has, as expected, spread throughout the tech supply chain, and now appears to be affecting even chip giant Intel. The company now says its 4Q11 sales will be about -7% below estimates ($13.4B-$14B, vs. $14.2-$15.2B) due to ramifications from the disaster. Gross margins are seen fractionally lower at ~64.5%. (Barclays' CJ Muse notes that $1B in lower sales, paired with $95 ASPs, suggests 10.5M fewer unit shipments -- which he translates to an -11% decline in 4Q11, instead of previous 1.5% expectations.)

PC sales are still expected to be up sequentially, but inventories are vanishing in the global supply chain as hard-disk drives are increasingly scarce (a big chunk of global HDD production is in the flooding-ravaged areas and has been knocked offline). Intel expects HDD shortages to linger into 1Q12, after which MPU inventories need to be rebuilt through 1H12.

Analysts' Take

Given the breadth of the Thai flooding's industrywide impact (from HDDs to chip packaging services), nobody seems very surprised that INTC is now being affected. Earlier this week IHS iSuppli calculated nearly a 4M unit shortfall in 1Q11 PC shipments as a result of the floods, exacerbating what is already a seasonally slow post-holiday period for PC demand. (The firm says HDD supplies should rebound by 2Q12, though -- and might even achieve oversupply before the year's out.)

Analysts also seem to be more comfortable with where Intel's numbers are relative to (what they believe is) sentiment among the greater PC sector. (Remember how analysts previously tried to overlay PC weakness onto INTC, to no avail?) FBR Research's Craig Berger points out that Intel's been "disconnected from the rest of the PC supply chain for at least a couple quarters," but both he and Barclays' Muse agree that Intel's adjusted outlook is now better aligned with end-market demand, from deteriorating ODM build data to PC demand assumptions to pre-flooding PC sales warnings from Dell and HP.

Muse, Sterne Agee's Vijay Rakesh, and Citi's Glen Yeung await the other shoe to drop for AMD's 4Q11 results (1%-5% expected growth, for now) and 1Q12 outlook (Rakesh is also watching Nvidia.) AMD, though, seems not to be worried about HDD supply issues for now; "In 1Q and 2Q, maybe you see some manifestations," according to new CEO Rory Read, but right now AMD isn't seeing any "major pressure in terms of the quarter."

Others wonder if the HDD shortage is drawing attention away from bigger problems with end-demand. Credit Suisse's John Pitzer suggests 1H12 demand will also be soft due to Windows 8 "anticipatory pause," ongoing worries about ARM competition, plus continued "macro headwinds and the likelihood of another INTC miss." At least the problems would seem to be cyclical and not structural in nature, with PC growth expected to accelerate again in 2Q/3Q12. FBR's Berger sees other warning signs that could pinch PC margins in 2012 (and perhaps trickle down to INTC and other component suppliers): Chinese labor costs (10%-30% higher in 2011, another 30%-50% in 2012); commodity inflation (e.g. gold, Cu, metal casings); and more competition from tablets (iPad et al, assuming 2.5 tablets cannibalize one PC).

If HDD supplies are a problem, is this a window of opportunity for solid-state drives (SSD)? Not really, Intel says; ODMs probably are reevaluating their options as the HDD supply situation evolves, but SSD demand probably won't accelerate until the end of 2012. -- J.M.

Thursday, December 8, 2011

ElectroIQ.com is getting a new look

You're going to notice some changes around ElectroIQ.com soon, and may have seen some of them already. We'll be adding new coverage areas, streamlining the topics in each of our "Channels," and revamping the site design for a better user experience. We'll talk about all of these changes here in the editors' blog as we transition through the upgrade.

Our redesign is already underway, as you can see from the new "Translate" functionality on every page. The semiconductor and photovoltaics manufacturing supply chains are global like few other industrial sectors. On top of this, students in the Asia-Pacific, Mexico, Eastern Europe, and other locations are studying engineering. With our parent company, PennWell Corp., the ElectroIQ team hosts and attends tradeshows and conferences from Las Vegas to Shenzhen.

We wanted a translator that was easy to use and included as many languages as possible, so no surprise that we turned to Google. Whether you're on the electroiq.com homepage, reading a news story, or on any other page, you'll be able to set your preferred language, and we'll keep it that way for the duration of your site visit (unless you decide to switch it up).

You can use the Google Translate function now (and let us know what you think), and when the new site design goes live in the next few days, you'll see links to our international publications right along the top of the site. Want to read our in-depth technical magazine content in Chinese? It's all right there for you.

This new design is not a one-day change, and we'll keep you up-to-date on all the new things you'll see come on-line. If you have questions or suggestions, email me at meredithc@pennwell.com.

Your digital media editor,
Meredith

Wednesday, November 30, 2011

IEDM "app" plots your schedule

Just after posting our IEDM slideshow sneak-peek, a reader emailed us to point out something he thinks can help this year's IEDM attendees -- and anyone who regularly goes to industry conferences/trade shows. You know the drill: obtain the event schedule and program/abstracts in advance, mark the talks you want to see -- then identify all the conflicts, mourn the tough decisions, lament the papers you'll have to miss, brace for room-room and hall-hall sprints, etc. It's pure "drudgery," Aneesh Nainani tells SST: "Going back and forth between the conference leaflet and the abstract booklet, and then discovering that their [sic] exists an conflict between the papers I wanted to attend and finally loosing [sic] the piece of paper with my schedule on the first day of the conference." Next week's IEDM in Washington DC is a prime example of such conference chaos: three days, 36 sessions, over 200 presentations.

Fear not, intrepid travelers: Aneesh has devised a free app for iPhones/iPads/iTouch that purposefully targets next week's IEDM: browse the conference schedule, flag papers you want to attend, show where all the session are at a particular time slot, browse papers by category, find the room for the next paper presentation, etc. You can also search across extended abstracts to narrow down to a specific topic (e.g. flash memory) or presenter (e.g. Stanford U.) The app is downloadable for free at the App Store here.


Aneesh is a Stanford PhD grad (2010), during which time he pursued summer research stints at Leti, IBM, SEMATECH, and AMAT. He's currently a senior device engineer at AMAT. He's also a presenter at this year's IEDM, describing a high-k pMOSFET made with 3% GeSn (Paper #16.6: "GeSn Technology: Extending the Ge Electronics Roadmap"). -- J.M.

Wednesday, November 23, 2011

Early 450mm orders: Tire-kicking or seat-warming?

As formal efforts to prove 450mm cost-effectiveness get underway, we're starting to see the announcements from tool vendors joining the fray. Neither company is outing its customer(s), but the news has generated some interesting analysis about the state of 450mm progress. German supplier Innolas, for example, says it will deliver a 450mm system for wafer sorting/laser marking to a customer's site in 1H12 for development and fine-tuning. Klaus Jotz, Innolas technical information manager, didn't identify the customer but did confirm that it's a single entity.

Another announcement, however, has generated much more interest and speculation. Molecular Imprints says it received an order to build a 450mm nanoimprint (J-FIL) litho tool to be ready by 2H12, including a five-year multi-year wafer patterning services contract and an option to buy more such systems. Paul Hofemann, VP of marketing and bizdev, declined to name the customer except to hint that it is a "leading IC manufacturer [...] that has taken a lead role for most of the early 450mm tool procurement for this [G450c] consortium." [Readers can draw their own conclusions.] He also noted the tool will stay in MII's facility to eliminate any delays (e.g. shipping, installation, qualification, training, etc.), which "is consistent with the G450C virtual fab strategy in the early 450mm supply chain," he added. While current contractual commitments are to the one customer, "we had some indications that all members were being consulted during the process," he added.

Many tool vendors have been tinkering with and showing 450mm versions of their process tools, but the one glaring absence has been in litho. About a month ago, ASML offered its own outlook on 450mm adoption that was several years beyond the G450C plan (prototyping after 2016 and production after 2018); clearly ASML has bigger fish to fry (EUV) and will get to 450mm when it has the incentive (time/money) to do so.

Not content to wait, the 450mm players need to get some 450mm wafers patterned now to start their work -- so they're turning to nanoimprint. "Having early access to patterned wafers is in the critical path of 450mm transition and this tactic will shave many months of the schedule," explained MII's Hofemann. He also added that the process was "competitive."

MII and nanoimprint proponents doubtlessly view this as Center Stage to prove the technology's viability for next-gen litho use. In reality, though, analysts argue this is just a way to get 450mm work started, keeping the litho seat warm until ASML can come into the fold with an EUV and/or immersion platform. "Realistically the semis guys needed some way to get a reasonable pattern on a 450 wafer so that they can start looking at things like etch and dep uniformity," thinks Gartner VP/analyst Bob Johnson. Fellow Gartner VP/analyst Dean Freeman noted that nanoimprint's pricetag, compared with tens-of-millions immersion or EUV tools, makes it practically a "disposable" option. On the other hand, it's not the first alternative 450mm litho tool being trotted out; EV Group has printed some 450mm wafers too. Freeman added that we've seen this before at the 300mm transition, where some smaller companies stepped up for some early unique-tool work, but "faded to the background as the larger companies stepped in with products."

So on the one hand, the MII 450mm order does open a door to show nanoimprint can prove itself in a smaller-scale leading-edge environment, which could pave the way for some future business. "MII has a one-two year window of opportunity to demonstrate that they deserve a place in future semi manufacturing before the ASML juggernaut pushes them aside," Johnson says. But it's more likely just a quick fix to get some wafers running to see what other process tools can do, while keeping the seat warm until more heavily-adopted litho tools (i.e. ASML) can get ready. And MII isn't alone here, either; Freeman notes Oxford Instruments has a 450mm etch system but likely won't displace Lam or TEL. And Freeman pointed out that nanoimprint still has its own mask-set hurdles, e.g. 1X mask at 10-20nm and associated alignment challenges. "If it was a better solution we likely would have seen more shipments at 300mm," he points out.

Another interesting note is that both these 450mm tool announcements are to single customers with singular ownership. There likely is a gentleman's agreement among 450mm consortium participants about how tools can be shared, at least at the beginning, Freeman explained. "At this time it is difficult to say if this will be an exclusive club or anyone with a piece of 450mm gear will be able to participate and get the tires kicked on their equipment," he said. These two recent tool announcements going to individual customers, though, suggests that at least starting out, individual companies have the option to be responsible for their own wafer set for process development. If so they could very well stick with tool vendors that are particular to their own process flow, meaning the 450mm pilot line could end up being a smattering of equipment from different companies, he notes. Don't be surprised if much of the early 450mm work doesn't happen at Albany CNSE's new Nanofab X now being built, as the chipmakers keep any special configurations to themselves. "As the 450GC develops and we see how the companies play with each other we may see some surprising developments happen," he suggests. -- J.M.