Monday, March 28, 2011

Synopsys: head in the Cloud, feet firmly planted

Synopsys’ (Nasdaq: SNPS) chairman & CEO, Aart de Geus, told attendees of the company’s annual SNUG (Synopsys Users Group) event (3/28/11, Santa Clara, CA) that using cloud computing to provide surge capacity for modeling/simulation activities by its customers is an opportunity to evolve the EDA business model. To that end, the company has an agreement with Amazon to provide the service – essentially, the ability for a user to request compute power by the hour when such a “surge” is needed to meet time-to-market goals. It was further noted that the security of such a service must be extraordinary, i.e., military-grade. SPICE modeling will also be targeted for surge capacity on the cloud. De Geus also took the opportunity to reiterate his view of semi-economics: where “smart everything” results not only in systemic value creation, but also systemic complexity. To keep up with such complexity, De Geus said accelerating software development with prototypes will be the actionable/executable specification of the future. Prototyping can be either virtual or FPGA-based. The reality of managing systemic complexity includes R&D expenses, collaborations, and acquisitions. Synopsys fully participates in all three. For example, the company currently spends over 30% of its revenue on R&D, and recent acquisitions (e.g., Optical Research Associates, Virage Logic, CoWare, Synfora, VaST Systems Technology) will continue to be an important part of the company’s strategy as software/IP/simulation/modeling are key to moving forward. Perhaps no truer to a practical, pragmatic business philosophy is de Geus’ comment that when dealing with Wall Street, “don’t over promise – over execute.” (Debra Vogler)

Tuesday, March 22, 2011

"Refresher" on abstract and article submissions

To all interested authors for Solid State Technology, Advanced Packaging, Photovoltaics World, and Small Times magazines: we are always looking for solid technical feature-length articles as well as column-length pieces for both print and online publication.

If you have an article idea, please submit an abstract (1-2 paragraphs should suffice) to debrav@pennwell.com. I will get back to you with the best article placement plan (print or online) as well as the length constraints. Thank you. (DV)

WaferNEWS Watch: Test tango changes tempo, VRGY chooses ATE over LTXC

The apparent lack of movement in the battle for Verigy's (VRGY) hand in marriage appears to have a victor: the company has "unanimously determined" that Advantest's (ATE) bid of $15/share in cash -- roughly a $900M valuation, note the Wall Street Journal and Nikkei daily -- is "a superior offer" than that of original suitor LTC Credence (LTXC). VRGY is still playing it coy, though, leaving the door ajar until March 25 in case LTXC counters with a sweetened offer "that would cause the Advantest proposal to cease to constitute a 'Superior Offer.'" (At this writing, 3/22/2011 at 10:45am: VRGY stock is up about 11% to $14.14; ATE is up a 6.5% to $17.68; LTXC is down about 4.3% to $8.09.)

But don't hold your breath for a LTXC rebuttal, thinks Satya Kumar from Credit Suisse. Among its options, the company most likely will choose to terminate the deal (and pocket a $15M breakup fee) and let VRGY+ATE sort out any DoJ antitrust problems, while it refocuses on its own business, he writes. The other options are less attractive: come back with a better offer, or take its case straight to VRGY shareholders, who probably won't be too receptive since the original terms (a 0.96:1 stock swap) are now dilutive at current share prices, and VRGY's board is publicly backing the ATE offer. In a terse PR, LTXC said it would explore its options, but with "the express purpose of preserving shareholder value."

So what's next for a VRGY+ATE combination? ATE already sent a note to the DoJ in January, with a second notice received by both companies in mid-February, from which point they had 60 days to respond, explains Kumar. If LTXC does back out, VRGY and ATE can go ahead and respond to the DoJ (until/if not, VRGY is on its own without ATE's help), after which the DoJ has 30 days to approve, request amendments, or enter litigation. "VRGY and Advantest have very little overlap in the markets that they operate in, leading us to believe deal has a high chance of receiving DoJ clearance eventually," he writes.

Ultimately, he notes, the winner in all of this is: Teradyne, the overall market leader. "We continue to view a possible consolidation of the test equipment space as a significant longer term positive for TER," he writes. In an earlier analysis, CJ Muse from Barclays pointed out that the test market
has condensed within a decade from a dozen jostling competitors to now just three players with ≥95% market share (two with ≥80%), which makes everyone behave more rationally, which translates to better ASPs and margins.

Thursday, March 17, 2011

News from Japan on the Impact of Disasters

All thoughts are of Japan and the country’s well-being after a triple disaster on March 11th, with a 9.0 earthquake and tsunami, followed by major nuclear reactor malfunctions. My heart goes out to all my friends and colleagues and their families in Japan, and their collective loss.

I have been in contact with Takeshi Hattori, president of Hattori Consulting International and an advisory board member for The ConFab, with more than three decades of experience at Sony. He worked around blackouts to file his report at 10:19 pm Tokyo time: “Letter from Japan: Update on infrastructure, fab status after earthquake”.

I also contacted a longtime friend and colleague, Kenji Tsuda, who was with Nikkei for 25+ years, launched Nikkei Microdevices, acted as Editor-in-Chief of Semiconductor Internatinoal Japan, and is now Editor-in-Chief of Semiconportal. He (like Hattori-san) is fine although expressed concerns about continued earthquakes (quakes are still felt every day, even in Tokyo), radiation and the status of survivors in the north of Japan. He reported that “Most disaster areas are snowing, where climate is similar to Illinois or Minnesota, colder than in Tokyo. So, they require blankets, oil, gas, and foods. Why they do not have sufficient goods required for life? This is because traffic roads are also damaged with the quakes and huge tsunami. Logistics are not available enough, but now getting better,” he writes.

Tsuda said the first priority of the logistics is for disaster people, secondly for industry and thirdly for national people. “Tokyo Electric Power Company (TEPCO) estimated this Monday consumption of the power might be 41GW (giga watt) covering the big Tokyo area called Kanto district. Due to power down at Fukushima nuclear power plant, however, the actual power capability was 31GW (almost 10 GW by nuclear energy)."

TEPCO announced a “rolling blackout” would start from this week. “Many commuter trains stopped and business people are confused, but JR railroad, a major railroad company in Japan has own power generators, and provides almost perfect operation. However, people living in suburbs of Tokyo were obliged to keep in their home, not to work at their office,” Tsuda said. “Yesterday, I came to my office in Tokyo, but people in Tokyo are not so many, (very quiet) and all lighting of buildings is dark to save power as well as subway station and facilities.”

He said there was plenty of misunderstanding related to nuclear effects. "Today (March 17th) the maximum radiation dose is in the nearest city, Soma City in Fukushima Prefecture, was instantly 200 micro Sv (Sievert), comparable to a flight traveler radiated with space radiation from NY to Tokyo. Usually average natural dose is 0.05 micro Sv, and places 100km far from the nuclear power plants have a few micro Sv, a 100 times larger than daily average, but not enough to damage to our health. This is lower level than radiation level in usual flight travelers." Radiation levels at the damaged nuclear plant are still unknown.

Tsuda added that, from an industry side, people worry about how long the rolling blackouts will continue. “Power is essential to ramp up their factory operation. Factory damage is being recovered within a month, people say, including Fujitsu, Toshiba and Renesas.”

Continued good wishes to Japan during this tragic time.

Pete Singer

Monday, March 14, 2011

WaferNEWS Watch: SPIE viewpoints

A pair of Wall Street analysts and a litho exec describe their key takeaways from this year's SPIE Advanced Lithography symposium: How far from HVM are the latest EUV results, how are the competitive source vendors faring, and why some work in improving EUV is falling short.

Several thrusts can help keep the industry on the Moore's Law path (transistor shrinks via HKMG, FinFETs, and 3D; new materials e.g. Ge-doping and III-V, eventually optical interconnects, and system-level approaches like TSVs). But there's general agreement that EUV provides "the single biggest knob" to tweak for the best cost-reduction roadmap, writes Credit Suisse's Satya Kumar. (While EUV will help chipmakers keep on Moore's Law, the big volume chipmakers see the larger 450mm wafer size as their next opportunity for a big step-down in manufacturing costs. The timing isn't likely to happen until 2016 at the soonest, though.)

When will EUV be "ready"? Almost certainly not at the 20nm logic node. (Intel's Sam Sivakumar said at SPIE that EUV is also already late for 10nm design rule definition, which is supposed to be frozen by early 2013.) So for now the industry will rely on double-patterning/multipatterning schemes, with the hope that EUV can quickly ramp up its throughputs and be ready for HVM by, say, ~2014-15).

For EUV there are hurdles to be sure (most notably in source power) but it's no longer a science question of if it will work -- it's "now more of an engineering/technology development project," notes Barclays' CJ Muse. Current specs show improvements: 25nm hp resolution at a customer (18nm at ASML), <0.2% dose stability, 3% CE), but sources are still ~11W usable/filtered, with goals to be ~22W by midyear (~80W lab).

Source update

ASML has been the EUV litho flag-bearer, so pushing EUV also means helping ASML succeed, at least initially, which gives the supplier a big headstart on others -- but the race seems to be tightening. Here are the three contenders for sources, with technology pros/cons for each of their approaches:

Cymer. Cymer's goals for HVM-I: In-band CE 3.0%, 50% collector reflectivity, "clean" power of >100W (200W @ IF). Its current test stats (100hr stress test): >70% source availability, 15W exposure power, 40% duty cycle, >0.3% full wafer dose stability (error stability 4%), 52.1% average area-weighted reflectivity. Two upgrades are coming, starting with a >80W raw power/40W exposure in 1Q11. The next step is HVM-II, which will improve CE from 3.0% to 3.5% (via pulse shaping and prepulse optimization), CE from 5.0sr to 5.5sr (better mirror collection angle), and improving subsystem efficiency (dose control and purity filter).

Muse's take on CYMI's map? "Overall, it would seem that the program is about 6 months to a year behind, not at all to be unexpected in an undertaking of this magnitude," he writes. "HVM-I success criteria are in no danger of being breached."

Ushio. Early EUV tools used a Cymer source, but now imec's new preproduction tool has an Ushio DPP source that it says has "considerably higher source power" and a factor-of-20 throughput increase vs. the alpha demo tool. (Kumar points out that German firm Xtreme, whose related technology Ushio acquired, worked with imec and Fraunhofer in early EUV work so their inclusion in the imec tool is not surprising.) The company claims its laser-assisted DPP technology has much better duty cycle (up to 100%) vs. LPP and with much purer spectrum that requires no light filters. The company also says its roadmap matches CYMI for power (100W by mid-2011). In addition to the imec tool, Ushio apparently is also used in Nikon's first EUV tool (vs. CYMI which has shipped four EUV sources already, one reportedly already installed at Samsung).

Besides imec and Samsung, the other four preproduction EUV litho tools are expected to go to Intel, TSMC, Toshiba, and Hynix, possibly with one of them also using an Ushio/Xtreme source. Gigaphoton plans to have its first source ready for ASML's production-ready 3300 tools, which are planned for initial build later this year and start shipping in 2012, for 2013 debugging at customers and probably volume production sometime in 2014.

Gigaphoton. Gigaphoton is still on track to ship its first EUV source to ASML in 3Q11, notes Muse. The company updated its LPP source: >100W radiation at IF from a 13kW CO2 driver, though needing basic improvement in duty cycle, CE, debris mitigation, and laser load revealed. CE as high as 3.3% (vs. Cymer's 3.0%) was described in experiments with Sn droplet size (20μm) and droplet preheating with a Nd:YAG laser pulse. Next stage goals by year's end: 5% CE, 75% duty cycle, and 250W @ IF.


Who's supporting the EUV supporters?

Among his own summary of the SPIE symposium's EUV updates, Vivek Bakshi, president of EUV Litho, expresses doubt that 100W will be bet before 2012 (after doubling to 40W in 2011), but that's ok since those 100W sources would hit the field around the same time as the 3300 HVM tools.

Bakshi also lamented the lack of support (i.e. funding) for EUV. For one, there's little research into improving source components beyond the HVM-baseline 100W (e.g. 150W-250W). "With very few exceptions, source research, the very lifeline of EUVL, has essentially been stopped," he writes, noting for example work from Purdue's Ahmed Hassanein in increasing conversion efficiency and reducing debris which has gone largely unfunded, and apparently will soon cease. (On the other hand, research from Gigaphoton's Hakaru Mizoguchi went back to the university drawing board with a 10Hz source to improve CE and eliminate most debris, Bakshi notes.) Little if anything has been shown in scaling DPP beyond 100W -- there is work at the ISAN Institute to scale DPP, but he questions the direction provided by industry beyond that.

Regarding mask metrology tools (AIMS), Energetiq's source is 8W/mm2sr (scalable to required 25W/mm2sr), but the work needs external investment -- the overall source trio aren't really in this game, and while others have shown progress in principle (NanoUV/special design DPP, Adylyte/Sn LPP, Bruker-AIXUV/Sn LPP), it's unclear how or whether these could be developed in time to support mask metrology infrastructure. "EMI funding is not going toward efforts to make sure that the most critical element of the technology is ready in time," Bakshi writes. "This critical technology has to be driven by a consortium, or we’re not going to end up where we want to be."


Two EUV alternatives

A poll conducted during one SPIE session showed unanimous choice of EUV as the industry's biggest challenge, and also the most likely risk of failure to be adopted (more than 3D memory, through-silicon vias, or FinFETs), Kumar notes. Though EUV also is clearly the choice over other litho approaches, there remain difficult challenges needing to be resolved.

Of the non-EUV litho paths, maskless e-beam seems to be favored over nanoimprint across all devices (NIL has favor possibly in DRAM), and among the approaches, work by Mapper and KLAC's "reflective" e-beam (dubbed REBL) appear more promising. Throughput is still an issue, though (<0.1 wafers/hr on a Mapper alpha tool, projected 10WPH on a HVM tool). The logic is whether a cluster of ~10 Mapper tools could hit the 100WPH benchmark for HVM at roughly the same price of an EUV system. Throughput depends on the number of beams and current; with initial systems having 110 beams and only 0.3μA current (HVM needs 13,000 beams and 13μA), Mapper's technology has to make "tremendous progress to be successful," he notes.

KLA-Tencor, meanwhile, says its REBL system uses microlensing and lenset arrays for a more compact design; benefit is high packing density, but maybe more blur due to columbic interaction between the beams (which cross in the REBL approach, vs. separate in a Mapper system). The company has mentioned planning 1M beamlets for 5WPH throughput, which Kumar calls "intriguing" but doesn't expect any impact for a couple of years.

Friday, March 11, 2011

All the best to our friends and colleagues in Japan

The news coming out of Japan after an unprecedented earthquake and resulting tsunami is indeed devastating. Our friends, colleagues, business associates, and their families located in harm's way, are in our thoughts and prayers.

Wednesday, March 9, 2011

“Electric” keynote address by Kurt Yeager on transforming the grid for the 21st century

Speaking at the REW/PVW Conference & Expo (Tampa, FL, 3/8-3/10/11), Kurt Yeager, executive director of the Galvin Electricity Institute, called for a transformation of the nation’s electrical grid. “The obsolescence of our electricity system costs the country $1T/year,” said Yeager. “The average consumer in the U.S. is out of power 4hrs/year.” By comparison, Singapore’s figure is measured in seconds/year.

To enable the future, Yeager calls for integrating the grids, and diverse generation and storage resources, into a smart self-healing grid. The solution, he noted, calls for intelligent technology, intelligent policy, and empowered consumers. Key to empowered consumers is the smart meter – but it really has to be “smart.” Such meters have to provide data to the consumers, not just the utility company. Yeager referred to a user-centric view that requires the emergence of a synchronous “enernet” – something akin to the internet.

Yeager defines a smart grid as a transformative network, seamlessly connecting producers and consumers. It also needs price-responsive end-use devices that enable autonomous consumer control (i.e., empowered consumers). Yeager further calls for the nation to look beyond the regulated monopoly business model. “Remove the barriers to competitive retail services,” he said.

Another component to Yeager’s energy view is converting buildings from "power pigs to power plants." There are so many losses in the conventional industrial building, for example, just due to conversions back and forth between AC and DC he observed. (Debra Vogler)

Tuesday, March 8, 2011

What ventures don't get funded?

In a pre-conference workshop (at the REW/PVW Conference & Expo, Tampa, FL) on renewable energy venture development, instructors Sumesh Arora and Tony Jeff - both of the Mississippi Technology Alliance - told attendees what projects do NOT get funded: ideas, products, hobbies (i.e., if you haven't quit your day job to work on the venture full time, it's a "hobby"), patents, and lifestyle companies or family businesses. The workshop covers risk readiness, growth risks, market risks, established business risks, among other topics. Watch for my podcast interview with Arora and Jeff in the Daily Pulse and/or PV Times later this week. (Debra Vogler)

Monday, March 7, 2011

Four reasons why LRCX will rebound

Barclays' CJ Muse understands why Lam Research "has been a relative underperformer" of late, due to its lack of exposure at Intel and unfavorable growth vs. opex. But he's optimistic for a turnaround in the stock, for four reasons:
  • Customer mix improving. Spending by foundries and Intel are likely to be frontend loaded in 2011, so look for memory mix of capex to get better as the year progresses. LRCX has its best customer mix in NAND (65%), so look for better business for Lam vs. more logic/foundry-leveraged rivals KLAC and NVLS.

  • Improving margins for clean. Margins for the company's clean technology were in the low 30% in early 2010, improved to ~39% by year's end, and should top ~45% (maybe up to 47%) by the end of 2011, Muse predicts. Why? The fast market upswing actually made it tough for the company to sell its reengineered spin clean products, but this should change as the market winds die down. Also, the company's nine design wins in 2010 should translate into 3%-5% share gains, and there are higher volumes for clean.

  • Market share gains coming. The company's increased opex outlook (~$100M) in the January quarter soured investors, but there's a longer-term ROI reasoning behind it -- Muse says it'll be for building infrastructure to help support imminent growth in etch and clean. Nearly two-thirds of the increased spend is for etch R&D to drive innovation for top customers, he notes, which is a longer-term "good investment;" ~20% is for new work for clean, particularly the re-engineered chamber configuration (medium-term investment); and ~15% is for 450mm, mainly to woo Intel (longer-term) even if it ultimately dents capital intensity. "Add it all up, and we see these investments as an effort to drive the next 5-10 points of share gains in both etch and clean over the next cycle or two," he writes.

  • Multipatterning litho schemes driving etch. After prolonged tinkering with multiple patterning (double and more) litho schemes, the industry should finally start truly adopting this technology in 2H11 and 2012. To this end, look for etch to increase as a percentage of wafer fab equipment spending, Muse notes.
Taking all into consideration, LRCX is trading at a discount "to all of its front-end equipment peers," Muse suggests. He expects a likely March earnings trough followed by consistently ratcheting estimates, and currently pegs a $66 stock price target (11× CY11 EPS estimates), meaning he projects roughly 13% upside.

Saturday, March 5, 2011

SPIE Advanced Lithography Conference podcast round-up

We have already begun posting podcast interviews that took place at the SPIE Advanced Lithography Conference. Watch for them to appear on our podcast page...you'll hear interviews with technical experts at Applied Materials/Magma Design Automation, Brewer Science, Cymer, D2S/e-Beam Initiative, Gigaphoton, IMEC, KLA-Tencor, Multibeam, Synopsys, and Toppan Photomasks. (DV)

Friday, March 4, 2011

On deck: REW/PVW Conference & Expo

Editors from Photovoltaics World will join their colleagues from Renewable Energy World and renewableenergyworld.com next week in Tampa, FL for the REW/PVW Conference & Expo. I'll (DV) be doing video interviews and podcast interviews at the event - if you're attending and want to comment on an interesting panel discussion or "hot" topic at the show, contact me via email (debrav@pennwell.com) and hopefully, we can schedule a time at the event, or else via phone after the event. (DV)

SPIE Advanced Litho Conference podcast "watch"

Watch for podcast coverage of the following companies reporting from the SPIE Advanced Lithography Conference: Cymer, Applied Materials, Gigaphoton, Toppan Photomasks, Imec, D2S, Synopsys, Multibeam, and a special interview with Dr. Terry Brewer - who is celebrating Brewer Science's 30th anniversary. The best way to catch our podcasts is to subscribe to the Daily Pulse e-newsletter and/or WaferNEWS. (DV)