Wednesday, November 30, 2011

IEDM "app" plots your schedule

Just after posting our IEDM slideshow sneak-peek, a reader emailed us to point out something he thinks can help this year's IEDM attendees -- and anyone who regularly goes to industry conferences/trade shows. You know the drill: obtain the event schedule and program/abstracts in advance, mark the talks you want to see -- then identify all the conflicts, mourn the tough decisions, lament the papers you'll have to miss, brace for room-room and hall-hall sprints, etc. It's pure "drudgery," Aneesh Nainani tells SST: "Going back and forth between the conference leaflet and the abstract booklet, and then discovering that their [sic] exists an conflict between the papers I wanted to attend and finally loosing [sic] the piece of paper with my schedule on the first day of the conference." Next week's IEDM in Washington DC is a prime example of such conference chaos: three days, 36 sessions, over 200 presentations.

Fear not, intrepid travelers: Aneesh has devised a free app for iPhones/iPads/iTouch that purposefully targets next week's IEDM: browse the conference schedule, flag papers you want to attend, show where all the session are at a particular time slot, browse papers by category, find the room for the next paper presentation, etc. You can also search across extended abstracts to narrow down to a specific topic (e.g. flash memory) or presenter (e.g. Stanford U.) The app is downloadable for free at the App Store here.


Aneesh is a Stanford PhD grad (2010), during which time he pursued summer research stints at Leti, IBM, SEMATECH, and AMAT. He's currently a senior device engineer at AMAT. He's also a presenter at this year's IEDM, describing a high-k pMOSFET made with 3% GeSn (Paper #16.6: "GeSn Technology: Extending the Ge Electronics Roadmap"). -- J.M.

Wednesday, November 23, 2011

Early 450mm orders: Tire-kicking or seat-warming?

As formal efforts to prove 450mm cost-effectiveness get underway, we're starting to see the announcements from tool vendors joining the fray. Neither company is outing its customer(s), but the news has generated some interesting analysis about the state of 450mm progress. German supplier Innolas, for example, says it will deliver a 450mm system for wafer sorting/laser marking to a customer's site in 1H12 for development and fine-tuning. Klaus Jotz, Innolas technical information manager, didn't identify the customer but did confirm that it's a single entity.

Another announcement, however, has generated much more interest and speculation. Molecular Imprints says it received an order to build a 450mm nanoimprint (J-FIL) litho tool to be ready by 2H12, including a five-year multi-year wafer patterning services contract and an option to buy more such systems. Paul Hofemann, VP of marketing and bizdev, declined to name the customer except to hint that it is a "leading IC manufacturer [...] that has taken a lead role for most of the early 450mm tool procurement for this [G450c] consortium." [Readers can draw their own conclusions.] He also noted the tool will stay in MII's facility to eliminate any delays (e.g. shipping, installation, qualification, training, etc.), which "is consistent with the G450C virtual fab strategy in the early 450mm supply chain," he added. While current contractual commitments are to the one customer, "we had some indications that all members were being consulted during the process," he added.

Many tool vendors have been tinkering with and showing 450mm versions of their process tools, but the one glaring absence has been in litho. About a month ago, ASML offered its own outlook on 450mm adoption that was several years beyond the G450C plan (prototyping after 2016 and production after 2018); clearly ASML has bigger fish to fry (EUV) and will get to 450mm when it has the incentive (time/money) to do so.

Not content to wait, the 450mm players need to get some 450mm wafers patterned now to start their work -- so they're turning to nanoimprint. "Having early access to patterned wafers is in the critical path of 450mm transition and this tactic will shave many months of the schedule," explained MII's Hofemann. He also added that the process was "competitive."

MII and nanoimprint proponents doubtlessly view this as Center Stage to prove the technology's viability for next-gen litho use. In reality, though, analysts argue this is just a way to get 450mm work started, keeping the litho seat warm until ASML can come into the fold with an EUV and/or immersion platform. "Realistically the semis guys needed some way to get a reasonable pattern on a 450 wafer so that they can start looking at things like etch and dep uniformity," thinks Gartner VP/analyst Bob Johnson. Fellow Gartner VP/analyst Dean Freeman noted that nanoimprint's pricetag, compared with tens-of-millions immersion or EUV tools, makes it practically a "disposable" option. On the other hand, it's not the first alternative 450mm litho tool being trotted out; EV Group has printed some 450mm wafers too. Freeman added that we've seen this before at the 300mm transition, where some smaller companies stepped up for some early unique-tool work, but "faded to the background as the larger companies stepped in with products."

So on the one hand, the MII 450mm order does open a door to show nanoimprint can prove itself in a smaller-scale leading-edge environment, which could pave the way for some future business. "MII has a one-two year window of opportunity to demonstrate that they deserve a place in future semi manufacturing before the ASML juggernaut pushes them aside," Johnson says. But it's more likely just a quick fix to get some wafers running to see what other process tools can do, while keeping the seat warm until more heavily-adopted litho tools (i.e. ASML) can get ready. And MII isn't alone here, either; Freeman notes Oxford Instruments has a 450mm etch system but likely won't displace Lam or TEL. And Freeman pointed out that nanoimprint still has its own mask-set hurdles, e.g. 1X mask at 10-20nm and associated alignment challenges. "If it was a better solution we likely would have seen more shipments at 300mm," he points out.

Another interesting note is that both these 450mm tool announcements are to single customers with singular ownership. There likely is a gentleman's agreement among 450mm consortium participants about how tools can be shared, at least at the beginning, Freeman explained. "At this time it is difficult to say if this will be an exclusive club or anyone with a piece of 450mm gear will be able to participate and get the tires kicked on their equipment," he said. These two recent tool announcements going to individual customers, though, suggests that at least starting out, individual companies have the option to be responsible for their own wafer set for process development. If so they could very well stick with tool vendors that are particular to their own process flow, meaning the 450mm pilot line could end up being a smattering of equipment from different companies, he notes. Don't be surprised if much of the early 450mm work doesn't happen at Albany CNSE's new Nanofab X now being built, as the chipmakers keep any special configurations to themselves. "As the 450GC develops and we see how the companies play with each other we may see some surprising developments happen," he suggests. -- J.M.

Monday, November 14, 2011

AMAT 3Q11 preview: Trough over, incline ahead

Analysts give their expectations about Applied Materials' fiscal 4Q11 results, due out Nov.16 -- viewed as bellwether for the chip equipment industry as a whole, and more recently related ones e.g. solar and display manufacturing.

Industry watchers on average are generally looking for (overall): $2.16B revenues (-25% Y/Y) and $0.20-$0.21 EPS (-42%); that EPS prediction has sunk from $0.33 three months ago. FY11 revenue expectations are $10.53B, up 10%. AMAT's original guidance issued Aug. 24 was for a -15% to -30% decline in sales (i.e. $1.67B-$2.03B) and EPS $0.16-$0.24.

What the numbers should show, argues Barclays' CJ Muse (4Q11 revenues/EPS/orders: $2.20B/$0.20/$1.70B), is something entirely expected: that semiconductor equipment demand hit bottom this fall (July-Sept), and has been picking up toward the end of the year thanks to the chip-triumvirate of TSMC, Samsung, and Intel. And signs might indicate order growth momentum will carry right through 1H12. He notes, though, that an improving semiconductor business is offset by likely declines in both display and solar.

Part of the boost in AMAT's SSG (chip) business will come a full quarter inclusion of numbers from the Varian Semi. Equip. Assoc. business which it finally closed on Nov.10, and in which there is a pickup in business, Muse writes. (Most of VSEA's numbers will initially be embedded in the SSG group, he notes.) For AMAT's January 2012 quarter (fiscal 1Q12) he's more optimistic than he was a few weeks ago: $2.15B in sales (vs. $1.90B) and $0.19 EMS (vs. $0.16), with overall orders up 20% and VSEA-aided SSG up 35% (flat in solar and services, +20% in displays).

Credit Suisse's Satya Kumar (4Q11 revenues/EPS/orders: $2.13B/$0.20/$1.70B) warns that AMAT's competitors are enjoying an upswing too, and warns of "stagnant/declining" marketshare in WFE vs. KLA-Tencor, Lam Research, and ASML. He invokes Gartner data showing a steadily AMAT marketshare decline: 21% to 17+% from 2004-2010, and in 2011 down another 18% Y/Y in its core semi business while macro WFE has actually risen 5%. TSMC's filings indicate less AMAT business at that key account, too (25% in 2005 to 15% in 2011). Why? AMAT's market dominance, he explains, is in product areas (e.g. deposition) "that have not benefited as much from the increase in capital intensity," e.g. litho and inspection.

Aside from semiconductor manufacturing, Kumar also lays down some bets on AMAT's other businesses; 25% of AMAT's sales in the current calendar year are from solar and displays, but he sees this withering by half over the next 12 months. He sees display spending -17% in 2011 and "at similar levels next year," though AMAT could get a 10% uplift in display tool sales as OLED and high-resolution LCDs move to larger panel sizes where AMAT's share is more prominent -- LG, for example, is planning Gen-8 OLED production by mid-2012 and Samsung is budgeting 7T won (US $6.2B) for OLED in 2012.

One area of softness continues to be solar. Kumar points to persistent oversupply and weak demand as a bad combination for pricing and margins (more like negative margins for many suppliers), which has resulted in "a virtual standstill in capacity expansion," Kumar writes. He's modeling a punishing -73% dropoff in AMAT's EES (solar biz) 3Q sales, though he expresses doubt that AMAT will aggressively cut costs in this unit -- since any profits from the semi side of the biz can be used to "subsidize" EES costs (pun likely intended).

Like Muse, Kumar sees a 20% bump in Jan. quarter (F4Q11) orders, and a 70-100bps bump in AMAT's gross margins thanks to VSEA's higher margin profile and possibly lowering AMAT's tax rate. For 2012, he is a tad more bearish, though: $9.1B revenues and $0.82 EPS. -- J.M.

Wednesday, November 9, 2011

Deca lands a 1-2 WLCSP punch -- and you won't see it coming

Amid the elegance of T.J. Rodgers' (Cypress Semiconductor president & CEO) home and winery in Woodside, CA, a new company -- Deca Technologies -- was announced to the media. The company's 67 employees plan to transform the interconnect space by wielding a disruptive cost structure (left jab!) and lightning speed of execution (right hook!) to do new product introductions in minutes rather than days or weeks. The combination of speed, low cost, and flexibility tackles the problem of packaging costs that haven't come down commensurate with Moore's Law scaling progress.

Deca's first product is a series of WLCSP "derivatives" (see table below). The initial TAM for fan-in WLCSP is $2B by 2016 (a CAGR of 14.7% between 2010-2016) noted Deca's president & CEO, Tim Olson, citing data from Yole. Also invoked was Jan Vardaman's (president and founder of TechSearch International) projection that with respect to Deca's initial product offering, "WLPs will maintain double-digit unit growth with a CAGR of 12.5% and annual volumes exceeding 20 billion units by 2014."


Deca says it can go from design to manufacturing in under 60 minutes. And with respect to total manufacturing cycle time, by late 2012 or early 2013 Deca expects to have a three-day cycle time for wafers going through its autoline factory. In comparison, Olson said that the current Tier-1 SATS average about 17 days of manufacturing cycle time. Currently, six customers are engaged (five of the six are $1B+) with one customer already qualified for production. Three more customers are in the process of qualification, and the company expects the remaining two customers to begin qualification within 90 days. More customers are expected to sign on in 1Q12.

Don't expect any fab tours or boasting from equipment suppliers about what they just sold to Deca, however. With the financial roots of the company in Silicon Valley (Cypress Semiconductor invested $35M) -- an area that made paranoia a virtue -- Olson, said that two-thirds of the company's equipment wouldn't even be known or familiar to semiconductor manufacturers, or even found anywhere else in the world. Additionally, the equipment that is used is very low-cost, nowhere near approaching the high pricetags normally associated with fab equipment. The production line itself is set up along kanban principles based on SunPower's experience.

Most of the equipment has been customized/modified by Deca; unlike semiconductor fab equipment, Deca does not use batch-based equipment. And though no one external to the company -- not even customers -- are allowed inside the fab (located inside SunPower's fab in the Philippines), if you could go in, all the equipment is the same color; there are no name plates. You would be unable to figure out who made anything, said Olson. (Perhaps the moral of this story: if you can go from a design to manufacturing in under 60 minutes, you can rewrite the rules of engagement with customers and they will love it.) The company has either indefinite or multi-year exclusivity agreements with equipment suppliers. There are also strict terms that prevent equipment suppliers from the sale of the same or similar equipment. Olson also credited Cypress' equipment specification/procurement/qualification process as being a major factor in its success to date. The only equipment factoid Olson did acknowledge was that conventional lithography technology is not being used.

Further "cloaking" is achieved because the company is paranoid even with third parties who have NDAs; line access is highly restricted, and there is extreme sensitivity with respect to the company's trade secrets, said Olson. The only customer Deca is willing to divulge publicly is Cypress Semiconductor, but Olson said the company has contracts with several major high-volume manufacturers (they didn't go after the little guys, he noted). And though SunPower invested an undisclosed amount of capital in Deca, and gave Deca half of SunPower's fab space (Laguna Technopark, Philippines) to use, as well as human resources, and process/operational know-how (Deca has exclusive access to SunPower's IP in its domain) -- it is not a customer. (It wouldn't need to be, of course, because SunPower taught Deca what it knows about extremely fast HVM.) One of the contributing factors that brought the companies together was the realization that almost all of the back-end-of-line processes used by SunPower for its solar cell map are 1:1 with those used by Deca for its 4-series WLCSP (2× and ball drop) product: patterned polymer, cure, PVD seed, plating template, electroplate, and strip/etch/clean.

To its credit, Deca took another page from SunPower's playbook: it hires degreed engineers to run the fab equipment. The fact that these are operators who want solid engineering careers and not people who might drift from one company to another is seen as an asset, which further adds protection to the company's IP. Having engineers run things and not allowing equipment suppliers' field personnel to work on equipment prevents "leaks" in IP and process know-how, Rodgers added.

[Here's some more "back story" about the company: Part of the launch event was a tour of T.J. Rodgers' winery conducted by Rodgers himself. He designed some of the equipment used in his winemaking process -- metering techniques, piping, and such (some of his inventions for winemaking are patented). When Deca was designing its fab, he contributed some of what he learned from being a vintner to the fab design.]

When asked about the possibility that other packaging suppliers and foundries could copy Deca's strategy and model, Rodgers said that it would require a paradigm shift from the "fab mentality" -- i.e., batch-based equipment with high pricetags. He pointed out that, to date, no one has been able to copy SunPower's manufacturing approach. Even if competitors could figure out the kind of equipment and modifications needed to copy the methodology, Rodgers said Deca has too great a lead. "Getting a lead and being able to sustain it is huge," he said.

(posted by Debra Vogler, senior technical editor)