Tuesday, October 26, 2010

WaferNEWS Watch: Deconstructing LRCX, sizing up CYMI

The most interesting data point from Lam Research's (LRCX) fiscal 1Q11 results call may have been the observation that foundries are buying new 300mm equipment for trailing-edge processes (0.15μm-0.10μm) -- the last time that happened, the industry saw a capex surge. Also: Mixed results for CYMI, and an interesting nugget from the EUVL Symposium about EUV source power.


Deconstructing LRCX: The rise of trailing-edge 300mm

The most interesting data point from Lam Research's (LRCX) fiscal 1Q11 results call? Foundries are buying new 300mm equipment for trailing-edge process work (0.15μm-0.10μm), and the reasons behind this trend are worth consideration, says Credit Suisse's Satya Kumar. If the manufacturing economics for 300mm/trailing nodes are now even lower than for 200mm wafers, chipmakers can retire 200mm assets and replace with 300mm equipment -- a trend last seen in 2007 with DRAM, which "drove a strong capex cycle," he notes. Or, the cost-case could be from the other direction, with demand for trailing-edge chips spurred by increasingly broad-based semiconductor usage.

Meanwhile, LRCX blew out its 1Q numbers (EPS $1.52 on $805.9M sales, vs. Street's $1.37 on $793.9M), and is guiding higher for 2Q11 (sales ~$825M vs. Street $811M, shipments $865M vs. $838M, EPS $1.55 vs. $1.42). Execs expect 1H11 to "look a lot like 2H10," as shipments plateau, with some caution in 2011 planning due to PC/consumer softness though broad trends are healthy. Tradition Equity's Peter Wright noted that LRCX management sees tablets at ~40M shipments in 2011 and "largely cannibalistic to netbooks."


And more broadly, LRCX's results and comments help Kumar's argument that, assuming semiconductor capital intensity is only going up, "then we are still in year 1 of a 3 year up cycle." Deutsche Bank's Peter Kim, though, sees growing foundry spending as "looking more and more like a bubble," with LRCX's 4Q projection of >40% shipments to foundry and well beyond previous highs in terms of dollars.


Sizing up CYMI, and an EUV horserace?

Cymer eked ahead of Street estimates in its 3Q10 results ($141.7M sales and EPS $0.70 vs. $137.2M and $0.63), but its outlook for 4Q is low: $140M-$145M and $0.66, vs. $150.8M and $0.72, blamed on lower immersion and EUV units. Positives out of the call: CYMI has shipped 2× more immersion sources in the past two quarters than competitor Gigaphoton; the company should gain share as memory capex wanes slightly (and CYMI indeed thinks it has won new memory biz, perhaps Samsung); and there's increased customer interest in TCZ. Still, Kumar points out, margins are a bit soft, and there's news from the EUVL Symposium that DPP lasers have higher throughput than LPP for EUV (CYMI uses DPP, Ushio has DPP), though both are still <10wph throughput; this is something that could make EUV production a bit more of a horserace, he notes.


XLNX: How to close a 40nm gap

Xilinx has its work cut out for it: rival Altera has enjoyed nearly double the growth in the past two years, largely in part to its higher mix of 40nm process technologies, writes Credit Suisse's John Pitzer. "While XLNX held the lead at the 65nm process node, it conceded the lead at 40nm where it is ~3-4 quarters behind ALTR," he writes. ALTR's 40nm sales mix was 13% in 3Q10, vs. just 5% for XLNX, which translated into $69M vs. $31M. "The challenge for XLNX is to close the gap while ramping a new foundry partner in TSMC," he says.

Tuesday, October 19, 2010

WaferNEWS Watch: Three candidates for semicap LBOs

Time for a wave of leverage buyouts in the semiconductor equipment sector? Credit Suisse's Satya Kumar thinks so, with some big names possibly in the mix. Also, IC distributors' efforts to burn & churn will oscillate back up the supply chain to a basket of IC suppliers. And ASML's quarterly results point to some ups, downs, and more ups again.

Time for semi tool LBOs

Time for a wave of leverage buyouts (LBO) in the semiconductor equipment sector? Credit Suisse's Satya Kumar thinks so, with some big names possibly in the mix, due to a number of factors.

There's been an uptick in LBOs in the broad stock market, Kumar points out, citing most recently last week's rumors of Seagate in talks with private equity (PE) firms. High yield debt markets are finally showing signs of improvement, interest rates are at shockingly low levels -- and while PE fundraising is still touchy, funds raised around 2005-2007 are at a point of mid-life where investors like to look for the exits. Moreover, investors may have reacquired an appetite for risk in pursuit of higher yields, Kumar notes. PE funds won't stomach any 10×-12× EV/EBITDA multiples this time around, but valuations for semiconductor capital equipment companies are much more compressed in this cycle (5×-6× EV/EBITDA), to a level "that make LBO scenarios more plausible," he writes.

So who might get a PE knock on the door? Kumar points to NVLS, TER, and VRGY as most likely candidates from a valuation perspective; those stocks "show 22% to 56% upside in an LBO event under a stressed and base line capex scenario, and yet return 20% IRR to potential private equity buyers," he writes. VRGY and even AMAT may also generate some interest if only to pursue a change of strategy (as have done NVLS and TER in recent years) -- e.g. focus on profitable business & exit unprofitable ones, optimize opex to streamline R&D, and pursue inorganic growth through M&A.

Channel churn dings IC suppliers

A basketful of semiconductor companies have a problem, says Doug Freedman of Gleacher & Co. General-purpose products often are ordered without exact correlation to demand, and now order trends from the distributor channel are "rapidly slowing" as IC distros seem "in deeper and earlier than feared stages of burn," in an effort to ward off more inventories and bide their time for some possible pricing relief in early 2011, he writes. This could soak some firms who depend on the channel for the bulk (40%-70%) of sales -- most notably NSM, TXN, ISIL, MCLR, and MPWR -- who should see more oscillation in their revenues into early 2011 while distros rebalance their supply vs. demand. "We imagine that management teams are finding it increasingly difficult to assess at what point backlog reduction will stabilize," and will probably have to embrace more future turns business, he writes. (Others not so affected: LLTC, MXIM, and ADI, who he says "have possessed greater discipline, also evident in past cycles, to prudently control distributor take-rates.")

ASML: Digestion, then growth on EUV

In semiconductor manufacturing, particularly at the leading edge, one name in lithography gets a lot of attention: ASML. (At a recent SEMI talk near Albany NY, an audience member asked about the fortunes of the other two historic litho competitors Nikon and Canon, to which a GlobalFoundries exec quipped: "...Who?") And so ASML now gets some extra attention after opening its quarterly books last week, showing soaring 3Q10 profits and sales in line with or slightly better than expectations.

Credit Suisse's Satya Kumar breaks down ASML's quarterly results and projects their business in 2011 ("digestion") and 2012 (growth resuming as EUV arrives). He thinks fears of a pause in foundry spending "are overdone," with TSMC and GlobalFoundries both looking for more business in 4Q10, and Samsung's foundry business tight thanks to Apple. NAND litho capex in 2011 will be double the 2010 levels, according to the company, while DRAM will be down. Looking into 2011 trends, Kumar expects "some sort of order digestion phase for ASML," some contraction of margins as low-margin EUV prototype tools start shipping, and then order growth resuming by the end of the year and into 2012 as the EUV wave arrives with third-generation tools.

INTC's 3Q takeaways: Good news from PCs

Intel's reported 3Q10 sales ($11.1B) and EPS ($0.52) were slightly better than Wall Street expectations ($11.0B and $0.50). Capex target is held at $5.0-$5.4B. Some takeaways from industry watchers:

-- The results were actually "much better than feared" and even "reasonably robust" given worrisome signs of desktop weakness in the channel, noted FBR Research's Craig Berger. Peter Wright from Tradition Equity points out original guidance was $11.6B in sales, but the miss wasn't too bad, with softness in late July/August seemingly reversed in September thanks to servers and price-cut-driven Chinese demand.

-- Deutsche Bank's Ross Seymore notes INTC's 4Q outlook of 3% sales growth "embeds significant caution (half normal seasonality)," with efforts to control both its own inventories and in the channel -- but this is "a prudent guide," he writes, following a below-seasonal 3Q and expected continued soft consumer demand.

-- INTC's 4Q outlook is "clearly not a reset," agrees Credit Suisse's John Pitzer, as inventory will grow only 6% (following 3Q's 2%) which doesn't portend future utilization cuts. Moreover, "PC supply chain significantly under shipped PC consumption in 3Q and is likely to do the same in 4Q -- always a bullish tactical indicator," he notes. While FBR's Berger isn't sure that INTC's 4Q better-than-expected 4Q outlook (sales flat to 7%, 67% gross margins) applies to all PC chip firms, nevertheless he proclaims the global PC inventory correction nearly complete."

Wednesday, October 13, 2010

Solar Power International's hottest stories

Solar Power International 2010 kicked off this week in Los Angeles, CA. Photovoltaics World, with sister publications RenewableEnergyWorld.com and PennEnergy.com, is covering the show with everything from keynote summaries, analysts' takes on ECD and ESLR, live interviews with executives at Skyline Solar, DEK Solar, Oerlikon Solar, and other top companies, to podcasts with Opel Solar, eIQ Energy, Solar Frontiers, and many more.


SPI notes: Inverter spotlight, updates on ECD, ESLR

Deutsche Bank analysts report from the first day of meetings at this week's Solar Power International (SPI) show in Los Angeles, where the early spotlight is on inverter suppliers, and updates from Energy Conversion Devices and Evergreen Solar.


Solar will be number-one power source in US: SEIA keynote from SPI

In the opening address to Solar Power International, taking place this week in Los Angeles, CA, Rhone Resch, president and CEO of the Solar Energy Industries Association (SEIA), highlighted solar energy's triumphs and the work left to be done. Here are his main points.


SPI Day 1 notes: Demand strong, poly prices spiking

Two key themes emerging from meetings with a Credit Suisse analyst at this week's Solar Power International (SPI) in Los Angeles involve two things on the rise: PV installations in 2H10, and polysilicon pricing.


Solar Power International: Off to a good start
Oliver Janssen, CEO of eIQ Energy, blogs from this week's Solar Power International show in Los Angeles, where there's some buzz about a possible watershed moment for the balance-of-systems (BoS) sector of the solar industry.


Podcasts:

1MW installed solar power translates to 100-200 new jobs: A chat with Opel Solar
Opel Solar opens up about its wireless network controller, next-gen HCPV solar
BoS costs target of eIQ parallel system design
AZ schools gain 18MW solar power
Solar Frontier gears up for 1GW capacity every 2 years
Genesis of Sedona Energy Labs InteliTrack: Energy conservation and cost
Are revenue streams replacing incentives as PV project drivers?


Also visit the Photovoltaics World homepage at http://www.electroiq.com/index/photovoltaics.html to watch the video interviews with solar executives, posted from the tradeshow in Los Angeles.


Are you attending Solar Power International? Let us know what you think of the show, the speakers, the products, etc., in the Comments section.

Tuesday, October 12, 2010

WaferNEWS Watch: MEMC's 2x-coup, TI's 300mm power-play

Why did MEMC spike this week? New solar financing, analyst upgrades...and just maybe, hiring one of the industry's top Wall Street watchers. Also: Why strong third-quarter results can't hide the building stormclouds in memory and PCs. And what TI is planning next with its expanded 300mm capacity means a few sector players should batten down the hatches.


MEMC feted for funding, ferrets finance figure

MEMC gained about 14% over the week, partly thanks to a $60M financing commitment to its SunEdison business from JP Morgan, and subsequent brighter outlooks from Wall Street. Just maybe, though, investors know a smart personnel move when they see one -- such as hiring Deutsche Bank's Steve O'Rourke to steer its bizdev and investments. He's been one of the best Wall Street watchers for both semicap and solar, and we wish him all best.


Sunny before the WFE storm?

Speaking of Deutsche Bank, analyst Peter Kim took a hatchet to a number of top names (LRCX, NVLS, and KLAC downgraded to "Sell," VSEA down to "Hold," and AMAT maintained at Hold). Tea leaves for 3Q10 suggest solid results for most everyone, and more foundry spending is anticipated -- but Kim sees ominous bad juju in slumping (-≥20%) memory contract prices, which suggests oversupply that could dent equipment bookings, and ongoing sluggishness in PCs, with pre-3Q negativity radiating from key chipmakers Intel, AMD, and others. "While we don't expect leading chipmakers and top CapEx spenders to completely cut off spending, spending will slow meaningfully from the torrid 3Q pace as capacity outpaces end-market demand," he writes.

Credit Suisse's Satya Kumar, though, thinks KLIC's negativity not only was "not a surprise at all" given the gulf between Cu wirebond capacity and demand, but it also doesn't reflect on broader front-end business. "The trends for back-end and front-end are very different this cycle," he writes. "Back-end test is being driven mostly by analog IDM capex, a segment that thrives on buying used front-end equipment [...] Front end capex is being driven by the traditional NAND/DRAM/Foundry segments. The overlap is simply not as significant as it normally is."


TI's next plan for 300mm muscle

Credit Suisse's John Pitzer sees Texas Instruments flexing its new beefier 300mm muscles by expanding into lower-tier segments such as power management & MOSFETS and analog ASSPs more quickly than anticipated -- and that should sound the alarm for companies like Fairchild Semiconductor (69% exposure to such markets), On Semi (46%), and Intersil (45%). All that new $3.5B worth of 300mm capacity not only boosts margins -- but it could also give TI some flexibility to drive share through pricing or target those segments where GMs are quite a bit lower, he writes.

Thursday, October 7, 2010

Schwarzenegger talks solar photovoltaics vs oil power

ElectroIQ.com editor Debra Vogler recently attended a Schwarzenegger speech at the Commonwealth Club in Silicon Valley, CA. Governor Schwarzenegger took on deceptive oil companies in his speech. Here are some key quotes she pulled out from the event.

  • Schwarzenegger suggests oil companies spending millions on ads to overturn CA's carbon reduction law instead spend it on job training.

  • Schwarzenegger: "Each time we walk away [from clean energy], we as a nation become more and more vulnerable. We intend to win this battle!"

  • Schwarzenegger:"Get off fossil fuels. The bridge to the 21st century is all about the new energy."

  • Referring to the condition of Port of L. A., Schwarzenegger tells oil companies to clean up their act.

You can follow Debra Vogler on Twitter @ http://twitter.com/dvogler_PV_semi

Schwarzenegger was speaking around the fourth anniversary of AB 32, the CA comprehensive law to reduce greenhouse gas (GHG) emissions and boost economic growth.

Tuesday, October 5, 2010

WaferNEWS Watch: ASML bucks SCE slide, TI lifts AEHR

ASML is gaining significant share at Intel and Samsung and is set up to significantly outperform the chipmaking tool sector as a whole, reports one analyst. And Aehr Systems is bullish thanks in part to Texas Instruments rescue of a Spansion Japan facility.

ASML gaining share, bucking SCE's slide

Credit Suisse's Satya Kumar is still "constructive" on ASML, seeing around worries about weakness in PCs and DRAM price declines to ASML's shipment trends staying intact through mid-2011. Capital intensity needs to increase, he continues to say, and ASML is gaining share at key accounts: new orders at Intel in 2H10, and also from Toshiba for the first time in two years. Specifically he suggests 8-10 NXT orders from Intel in 2Q and another 8-10 coming in 2H10, increasing its share of Intel's semiconductor lithography spend to ~65% (up from ~40%).

That latter point about new orders is important, he writes in a research note, since ASML may realize orders later than some of its semiconductor equipment peers -- e.g. missing from its 2Q10 backlog were likely Intel 22nm Phase 2, Toshiba Y5, TSMC F15, Global Foundry US, IMFS, and Samsung L16. So while orders trend flat to down -10% in 4Q for the overall semiconductor equipment sector, he thinks ASML could see 8% Q/Q growth to a €1.4B backlog.

For those tracking EUV progress, Kumar thinks ASML will deliver six EUV tools by 2Q11, and recognize most of the revenue in the calendar year.


TI's Spansion buy: The wind beneath AEHR's wings

Among comments by Aehr Test Systems execs discussing their fiscal 1Q11 results was optimism regarding TI's takeover of Spansion Japan's wafer fab, which has "a good number of FOX-1 systems which have not been utilized to any significant extent during Spansion Japan's bankruptcy action," noted AEHR CFO Gary Larson. TI's pledge to extend testing services there through at least June 2012 means not only will get those tools get humming again, but a potential opportunity to sell more WaferPaks and upgrades.

Other tidbits from the conference call:

- Chairman/CEO Rhea Posedel noted ongoing work with a Taiwanese manufacturer for DDR3-DDR4 DRAM, but not much can be announced, as qualification has yet to occur.

- Prompted during the Q&A to pick a winner in the race for cash vs. revenue, Larson asserted that "we don't believe cash is a problem" for this year; that cash burn will decrease in F2Q11; and that inventory has increased so systems can be shipped "on very short notice" for a quick sales upside.


Foundries open wallets

New Taiwan foundry tool purchases, according to reported financial filings: ~$45M from TSMC, to AMAT ($24M) and KLAC ($21M), and UMC spending $17M for Semitool's tools.

Friday, October 1, 2010

September's split personality: thin film and c-Si PV top readers' lists

The top articles on ElectroIQ.com in September were both about solar photovoltaics, one stating that thin-film has been underestimated (following a period of overestimation), and one arguing that crystalline silicon (cSi) photovoltaics are challenging thin film's areas of dominance. Thin film is moving in on c-Si territory, while c-Si moves in on thin-film's sectors. Check out both, and make an informed verdict.

What thin-film PV has in common with Mark Twain
Rumors of thin-film PV technology's demise are exaggerated, writes Paula Mints from Navigant Consulting -- despite an uphill competitive battle with crystalline silicon, it does have a place in the future of multi-GW deployments.

Tier 2 rising: New Chinese c-Si firms challenging thin-film producers
Who's challenging the leading c-Si cell makers today? It's not the thin-film panel suppliers as expected, says Solarbuzz's Finlay Colville, taking the pulse from last week's EU PVSEC show -- it's Chinese Tier 2 c-Si cell makers.